From ea367dd2fce613c49a706c48a378009e3737a189 Mon Sep 17 00:00:00 2001 From: Valentin Ochs Date: Fri, 26 Nov 2021 07:52:54 +0100 Subject: [PATCH] Stuff, also changed to kicad 6 --- adder-cache.lib | 17 + adder.kicad_pcb | 29819 ++++++++++++++++++++++++++++++++------------- adder.kicad_pro | 601 + adder.kicad_sch | 3447 ++++++ adder.pro | 239 - adder.sch | 1220 -- buffer.kicad_sch | 233 + buffer.sch | 111 - nmos.kicad_sch | 354 + nmos.sch | 105 - pmos.kicad_sch | 362 + pmos.sch | 112 - 12 files changed, 26365 insertions(+), 10255 deletions(-) create mode 100644 adder.kicad_pro create mode 100644 adder.kicad_sch delete mode 100644 adder.pro delete mode 100644 adder.sch create mode 100644 buffer.kicad_sch delete mode 100644 buffer.sch create mode 100644 nmos.kicad_sch delete mode 100644 nmos.sch create mode 100644 pmos.kicad_sch delete mode 100644 pmos.sch diff --git a/adder-cache.lib b/adder-cache.lib index 10f7d90..9ad5485 100644 --- a/adder-cache.lib +++ b/adder-cache.lib @@ -75,6 +75,23 @@ X Pin_4 4 200 -200 150 L 50 50 1 1 P ENDDRAW ENDDEF # +# Connector_Generic_Conn_01x01 +# +DEF Connector_Generic_Conn_01x01 J 0 40 Y N 1 F N +F0 "J" 0 100 50 H V C CNN +F1 "Connector_Generic_Conn_01x01" 0 -100 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_1x??_* +$ENDFPLIST +DRAW +S -50 5 0 -5 1 1 6 N +S -50 50 50 -50 1 1 10 f +X Pin_1 1 -200 0 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# # Device_LED # DEF Device_LED D 0 40 N N 1 F N diff --git a/adder.kicad_pcb b/adder.kicad_pcb index 10655fb..632e9b0 100644 --- a/adder.kicad_pcb +++ b/adder.kicad_pcb @@ -1,78 +1,49 @@ -(kicad_pcb (version 20171130) (host pcbnew 5.1.10) +(kicad_pcb (version 20211014) (generator pcbnew) (general (thickness 1.6) - (drawings 118) - (tracks 964) - (zones 0) - (modules 114) - (nets 63) ) - (page A4) + (paper "A4") (layers - (0 F.Cu power) - (1 In1.Cu signal hide) - (2 In2.Cu signal hide) - (31 B.Cu power hide) - (32 B.Adhes user) - (33 F.Adhes user) - (34 B.Paste user) - (35 F.Paste user) - (36 B.SilkS user) - (37 F.SilkS user) - (38 B.Mask user) - (39 F.Mask user) - (40 Dwgs.User user) - (41 Cmts.User user) - (42 Eco1.User user) - (43 Eco2.User user) - (44 Edge.Cuts user) - (45 Margin user) - (46 B.CrtYd user) - (47 F.CrtYd user) - (48 B.Fab user) - (49 F.Fab user) + (0 "F.Cu" power) + (1 "In1.Cu" signal) + (2 "In2.Cu" signal) + (31 "B.Cu" power) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) ) (setup - (last_trace_width 0.2) - (user_trace_width 0.5) - (trace_clearance 0.2) - (zone_clearance 0.3) - (zone_45_only no) - (trace_min 0.2) - (via_size 0.8) - (via_drill 0.4) - (via_min_size 0.4) - (via_min_drill 0.3) - (user_via 0.6 0.3) - (uvia_size 0.3) - (uvia_drill 0.1) - (uvias_allowed no) - (uvia_min_size 0.2) - (uvia_min_drill 0.1) - (edge_width 0.05) - (segment_width 0.2) - (pcb_text_width 0.3) - (pcb_text_size 1.5 1.5) - (mod_edge_width 0.12) - (mod_text_size 1 1) - (mod_text_width 0.15) - (pad_size 6.4 6.4) - (pad_drill 3.2) (pad_to_mask_clearance 0) (aux_axis_origin 87.63 98.044) (grid_origin 87.63 98.044) - (visible_elements FFFFFF7F) (pcbplotparams - (layerselection 0x010fc_ffffffff) + (layerselection 0x00010fc_ffffffff) + (disableapertmacros false) (usegerberextensions false) (usegerberattributes true) (usegerberadvancedattributes true) (creategerberjobfile true) + (svguseinch false) + (svgprecision 6) (excludeedgelayer true) - (linewidth 0.150000) (plotframeref false) (viasonmask false) (mode 1) @@ -80,26 +51,30 @@ (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotinvisibletext false) - (padsonsilk false) + (sketchpadsonfab false) (subtractmaskfromsilk false) (outputformat 1) (mirror false) (drillshape 0) (scaleselection 1) - (outputdirectory "/tmp/adder_gerber")) + (outputdirectory "/tmp/adder_gerber") + ) ) (net 0 "") - (net 1 GND) - (net 2 VCC) - (net 3 /A) - (net 4 /Cin) - (net 5 /B) + (net 1 "GND") + (net 2 "VCC") + (net 3 "/A") + (net 4 "/Cin") + (net 5 "/B") (net 6 "Net-(D1-Pad2)") (net 7 "Net-(D2-Pad2)") (net 8 "Net-(D3-Pad2)") @@ -110,18 +85,18 @@ (net 13 "Net-(D8-Pad1)") (net 14 "Net-(D9-Pad1)") (net 15 "Net-(D10-Pad1)") - (net 16 /Sheet617F1E56/drain) - (net 17 /Sheet617F49C8/drain) - (net 18 /Sheet617F77F7/out) - (net 19 /Sheet617FA69D/drain) - (net 20 /Sheet617F9D74/out) - (net 21 /sheet618026B1/out) - (net 22 /sheet61802BA6/out) - (net 23 /sheet61801675/drain) - (net 24 /sheet618020C7/drain) - (net 25 CK) + (net 16 "/Sheet617F1E56/drain") + (net 17 "/Sheet617F49C8/drain") + (net 18 "/Sheet617F77F7/out") + (net 19 "/Sheet617FA69D/drain") + (net 20 "/Sheet617F9D74/out") + (net 21 "/sheet618026B1/out") + (net 22 "/sheet61802BA6/out") + (net 23 "/sheet61801675/drain") + (net 24 "/sheet618020C7/drain") + (net 25 "CK") (net 26 "Net-(D11-Pad2)") - (net 27 /Coutinv) + (net 27 "/Coutinv") (net 28 "Net-(D12-Pad2)") (net 29 "Net-(D13-Pad2)") (net 30 "Net-(D14-Pad2)") @@ -138,8789 +113,21697 @@ (net 41 "Net-(D25-Pad1)") (net 42 "Net-(D26-Pad1)") (net 43 "Net-(D27-Pad2)") - (net 44 /Sinv) + (net 44 "/Sinv") (net 45 "Net-(D28-Pad1)") - (net 46 /Cout) - (net 47 /sheet617EA114/drain) - (net 48 /sheet617EB753/drain) - (net 49 /sheet617F0BFA/out) - (net 50 /sheet617EF79C/drain) - (net 51 /sheet61827E81/out) - (net 52 /sheet617F3F23/drain) - (net 53 /sheet6188A621/source) - (net 54 /sheet6188A621/drain) - (net 55 /sheet6189E1B4/out) - (net 56 /sheet6188F5B8/drain) - (net 57 /sheet618AD770/out) - (net 58 /sheet6191B1A0/in) - (net 59 /sheet619022FA/drain) - (net 60 /sheet61921360/in) - (net 61 /sheet619022FB/drain) - (net 62 /sheet6196C11E/drain) + (net 46 "/Cout") + (net 47 "/sheet617EA114/drain") + (net 48 "/sheet617EB753/drain") + (net 49 "/sheet617F0BFA/out") + (net 50 "/sheet617EF79C/drain") + (net 51 "/sheet61827E81/out") + (net 52 "/sheet617F3F23/drain") + (net 53 "/sheet6188A621/source") + (net 54 "/sheet6188A621/drain") + (net 55 "/sheet6189E1B4/out") + (net 56 "/sheet6188F5B8/drain") + (net 57 "/sheet618AD770/out") + (net 58 "/sheet6191B1A0/in") + (net 59 "/sheet619022FA/drain") + (net 60 "/sheet61921360/in") + (net 61 "/sheet619022FB/drain") + (net 62 "/sheet6196C11E/drain") + (net 63 "/S") - (net_class Default "This is the default net class." - (clearance 0.2) - (trace_width 0.2) - (via_dia 0.8) - (via_drill 0.4) - (uvia_dia 0.3) - (uvia_drill 0.1) - (add_net /A) - (add_net /B) - (add_net /Cin) - (add_net /Cout) - (add_net /Coutinv) - (add_net /Sheet617F1E56/drain) - (add_net /Sheet617F49C8/drain) - (add_net /Sheet617F77F7/out) - (add_net /Sheet617F9D74/out) - (add_net /Sheet617FA69D/drain) - (add_net /Sinv) - (add_net /sheet617EA114/drain) - (add_net /sheet617EB753/drain) - (add_net /sheet617EF79C/drain) - (add_net /sheet617F0BFA/out) - (add_net /sheet617F3F23/drain) - (add_net /sheet61801675/drain) - (add_net /sheet618020C7/drain) - (add_net /sheet618026B1/out) - (add_net /sheet61802BA6/out) - (add_net /sheet61827E81/out) - (add_net /sheet6188A621/drain) - (add_net /sheet6188A621/source) - (add_net /sheet6188F5B8/drain) - (add_net /sheet6189E1B4/out) - (add_net /sheet618AD770/out) - (add_net /sheet619022FA/drain) - (add_net /sheet619022FB/drain) - (add_net /sheet6191B1A0/in) - (add_net /sheet61921360/in) - (add_net /sheet6196C11E/drain) - (add_net CK) - (add_net GND) - (add_net "Net-(D1-Pad2)") - (add_net "Net-(D10-Pad1)") - (add_net "Net-(D11-Pad2)") - (add_net "Net-(D12-Pad2)") - (add_net "Net-(D13-Pad2)") - (add_net "Net-(D14-Pad2)") - (add_net "Net-(D15-Pad2)") - (add_net "Net-(D16-Pad1)") - (add_net "Net-(D17-Pad1)") - (add_net "Net-(D18-Pad1)") - (add_net "Net-(D19-Pad1)") - (add_net "Net-(D2-Pad2)") - (add_net "Net-(D20-Pad1)") - (add_net "Net-(D21-Pad2)") - (add_net "Net-(D22-Pad2)") - (add_net "Net-(D23-Pad2)") - (add_net "Net-(D24-Pad1)") - (add_net "Net-(D25-Pad1)") - (add_net "Net-(D26-Pad1)") - (add_net "Net-(D27-Pad2)") - (add_net "Net-(D28-Pad1)") - (add_net "Net-(D3-Pad2)") - (add_net "Net-(D4-Pad2)") - (add_net "Net-(D5-Pad2)") - (add_net "Net-(D6-Pad1)") - (add_net "Net-(D7-Pad1)") - (add_net "Net-(D8-Pad1)") - (add_net "Net-(D9-Pad1)") - (add_net VCC) - ) - - (module adder:iR (layer F.Cu) (tedit 0) (tstamp 61867C07) - (at 144.145 89.789) - (fp_text reference G*** (at 0 0) (layer F.SilkS) hide - (effects (font (size 1.524 1.524) (thickness 0.3))) - ) - (fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide - (effects (font (size 1.524 1.524) (thickness 0.3))) - ) - (fp_poly (pts (xy -3.050124 -0.871424) (xy -2.874344 -0.793408) (xy -2.722769 -0.650934) (xy -2.61225 -0.465215) - (xy -2.55689 -0.265065) (xy -2.556698 -0.144409) (xy -2.577414 -0.059051) (xy -2.62445 0.092844) - (xy -2.693542 0.298865) (xy -2.78043 0.546598) (xy -2.880849 0.82363) (xy -2.985572 1.104424) - (xy -3.127401 1.484572) (xy -3.238706 1.795745) (xy -3.321928 2.046954) (xy -3.379512 2.247208) - (xy -3.4139 2.405517) (xy -3.427534 2.530891) (xy -3.422856 2.63234) (xy -3.413213 2.681806) - (xy -3.353575 2.780754) (xy -3.249134 2.821964) (xy -3.114783 2.80774) (xy -2.965414 2.740387) - (xy -2.81592 2.622207) (xy -2.77912 2.583718) (xy -2.680489 2.468823) (xy -2.605265 2.362232) - (xy -2.540138 2.239967) (xy -2.471796 2.07805) (xy -2.414246 1.926471) (xy -2.337031 1.744528) - (xy -2.269387 1.642104) (xy -2.225505 1.615266) (xy -2.176863 1.61578) (xy -2.160029 1.656581) - (xy -2.167905 1.757901) (xy -2.169974 1.773598) (xy -2.228492 2.005113) (xy -2.336539 2.25066) - (xy -2.480405 2.489499) (xy -2.646381 2.700885) (xy -2.820756 2.864076) (xy -2.939662 2.938141) - (xy -3.146268 2.998009) (xy -3.362388 2.996878) (xy -3.556652 2.93611) (xy -3.601649 2.909409) - (xy -3.72494 2.805294) (xy -3.833279 2.681079) (xy -3.840743 2.670316) (xy -3.900796 2.560393) - (xy -3.932493 2.441198) (xy -3.934266 2.300457) (xy -3.904548 2.125896) (xy -3.841772 1.905243) - (xy -3.74437 1.626223) (xy -3.688415 1.477275) (xy -3.503501 0.985401) (xy -3.348959 0.559272) - (xy -3.22552 0.201113) (xy -3.133916 -0.086852) (xy -3.074881 -0.302399) (xy -3.049146 -0.443305) - (xy -3.048 -0.467359) (xy -3.075518 -0.611048) (xy -3.158872 -0.69164) (xy -3.299265 -0.710009) - (xy -3.368712 -0.70069) (xy -3.561732 -0.62185) (xy -3.740122 -0.462136) (xy -3.901593 -0.224433) - (xy -4.043856 0.08837) (xy -4.084454 0.202378) (xy -4.142657 0.359583) (xy -4.192926 0.450962) - (xy -4.245986 0.493271) (xy -4.275569 0.501068) (xy -4.335842 0.503143) (xy -4.356272 0.470173) - (xy -4.346433 0.380633) (xy -4.341467 0.352902) (xy -4.294531 0.190566) (xy -4.211676 -0.011368) - (xy -4.106984 -0.224173) (xy -3.994538 -0.419122) (xy -3.892918 -0.562145) (xy -3.707263 -0.732416) - (xy -3.493269 -0.843363) (xy -3.268402 -0.891021) (xy -3.050124 -0.871424)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.716486 -2.984369) (xy 1.081876 -2.983714) (xy 1.380074 -2.982144) (xy 1.619815 -2.979266) - (xy 1.809838 -2.974691) (xy 1.958878 -2.968025) (xy 2.075673 -2.958878) (xy 2.168959 -2.946857) - (xy 2.247474 -2.93157) (xy 2.319955 -2.912628) (xy 2.395137 -2.889637) (xy 2.395747 -2.889443) - (xy 2.797673 -2.731339) (xy 3.130517 -2.534248) (xy 3.391366 -2.300378) (xy 3.577306 -2.031936) - (xy 3.606108 -1.97239) (xy 3.671279 -1.760898) (xy 3.705356 -1.504643) (xy 3.708165 -1.233835) - (xy 3.67953 -0.978683) (xy 3.619277 -0.769396) (xy 3.613153 -0.755685) (xy 3.446079 -0.493373) - (xy 3.20905 -0.271422) (xy 2.909021 -0.094794) (xy 2.552951 0.031547) (xy 2.487083 0.047727) - (xy 2.357426 0.082221) (xy 2.269129 0.11444) (xy 2.243667 0.133411) (xy 2.266614 0.181275) - (xy 2.330106 0.286513) (xy 2.426121 0.437148) (xy 2.546634 0.621205) (xy 2.683622 0.826705) - (xy 2.829062 1.041673) (xy 2.974931 1.254132) (xy 3.113205 1.452104) (xy 3.235861 1.623614) - (xy 3.302863 1.7145) (xy 3.526606 1.995161) (xy 3.744819 2.235446) (xy 3.947653 2.42572) - (xy 4.125259 2.55635) (xy 4.206551 2.598425) (xy 4.313241 2.657174) (xy 4.356306 2.727099) - (xy 4.360333 2.769867) (xy 4.355597 2.820584) (xy 4.334572 2.858764) (xy 4.287029 2.885932) - (xy 4.202742 2.903616) (xy 4.071482 2.91334) (xy 3.883022 2.916631) (xy 3.627135 2.915016) - (xy 3.408557 2.911879) (xy 2.647281 2.899834) (xy 0.927515 0.232834) (xy 0.916223 0.231962) - (xy 1.320261 0.231962) (xy 1.337596 0.270485) (xy 1.394968 0.369776) (xy 1.486154 0.519692) - (xy 1.604932 0.710089) (xy 1.745079 0.930823) (xy 1.83188 1.065926) (xy 2.000715 1.327647) - (xy 2.170379 1.590696) (xy 2.329962 1.838156) (xy 2.468555 2.053108) (xy 2.575247 2.218636) - (xy 2.598194 2.25425) (xy 2.836832 2.624667) (xy 3.644909 2.624667) (xy 3.351013 2.275417) - (xy 3.102901 1.965294) (xy 2.830473 1.59764) (xy 2.546812 1.190884) (xy 2.265 0.763453) - (xy 2.160003 0.597662) (xy 1.88584 0.159604) (xy 1.611079 0.187755) (xy 1.468206 0.204436) - (xy 1.363192 0.220536) (xy 1.320261 0.231962) (xy 0.916223 0.231962) (xy 0.756951 0.219663) - (xy 0.586388 0.206492) (xy 0.600111 1.329468) (xy 0.604396 1.687335) (xy 0.609569 1.969754) - (xy 0.618723 2.185911) (xy 0.634948 2.344997) (xy 0.661335 2.4562) (xy 0.700977 2.528708) - (xy 0.756964 2.57171) (xy 0.832388 2.594394) (xy 0.930341 2.60595) (xy 1.032204 2.613772) - (xy 1.223653 2.639228) (xy 1.337538 2.681112) (xy 1.380075 2.743645) (xy 1.357481 2.831048) - (xy 1.356115 2.833627) (xy 1.340988 2.857518) (xy 1.318052 2.876597) (xy 1.278468 2.891401) - (xy 1.213398 2.902464) (xy 1.114004 2.910324) (xy 0.971447 2.915513) (xy 0.776888 2.918569) - (xy 0.52149 2.920027) (xy 0.196413 2.920421) (xy -0.075573 2.920352) (xy -0.411506 2.919132) - (xy -0.721489 2.915971) (xy -0.995065 2.911136) (xy -1.22178 2.90489) (xy -1.391177 2.897499) - (xy -1.4928 2.889228) (xy -1.517121 2.883814) (xy -1.555854 2.818246) (xy -1.559454 2.747354) - (xy -1.544223 2.694741) (xy -1.504509 2.659743) (xy -1.421979 2.634418) (xy -1.278299 2.61082) - (xy -1.228576 2.603975) (xy -1.06126 2.577891) (xy -0.957545 2.549789) (xy -0.896605 2.511312) - (xy -0.858237 2.455334) (xy -0.846364 2.41412) (xy -0.836436 2.33745) (xy -0.828356 2.219718) - (xy -0.82203 2.055321) (xy -0.817362 1.838653) (xy -0.814258 1.564112) (xy -0.812622 1.226093) - (xy -0.81236 0.818991) (xy -0.813376 0.337202) (xy -0.814994 -0.093759) (xy -0.816903 -0.626033) - (xy -0.818407 -1.079937) (xy -0.820571 -1.461757) (xy -0.824459 -1.777775) (xy -0.831136 -2.034278) - (xy -0.841665 -2.237548) (xy -0.857111 -2.393871) (xy -0.878538 -2.509532) (xy -0.907011 -2.590813) - (xy -0.943595 -2.644001) (xy -0.989352 -2.675379) (xy -1.045349 -2.691232) (xy -1.112648 -2.697843) - (xy -1.192315 -2.701499) (xy -1.257376 -2.70578) (xy -1.294509 -2.709333) (xy -0.529167 -2.709333) - (xy -0.529167 2.624667) (xy -0.105833 2.624675) (xy 0.3175 2.624684) (xy 0.3175 0.031759) - (xy 0.318079 -0.43073) (xy 0.319748 -0.869199) (xy 0.322046 -1.221349) (xy 0.592667 -1.221349) - (xy 0.592667 -0.127) (xy 0.963083 -0.127661) (xy 1.170804 -0.135099) (xy 1.381913 -0.154163) - (xy 1.555944 -0.181009) (xy 1.579493 -0.186202) (xy 1.809863 -0.271739) (xy 1.988268 -0.410015) - (xy 2.11635 -0.604866) (xy 2.195753 -0.860128) (xy 2.228118 -1.17964) (xy 2.21509 -1.567237) - (xy 2.207273 -1.652349) (xy 2.162661 -1.955488) (xy 2.092962 -2.188952) (xy 1.991497 -2.365705) - (xy 1.851588 -2.498713) (xy 1.735289 -2.568657) (xy 1.676525 -2.591375) (xy 2.244211 -2.591375) - (xy 2.350081 -2.360125) (xy 2.451764 -2.085866) (xy 2.512076 -1.788519) (xy 2.534154 -1.44751) - (xy 2.52916 -1.185333) (xy 2.511202 -0.92536) (xy 2.479309 -0.716261) (xy 2.426506 -0.524532) - (xy 2.350673 -0.328083) (xy 2.344288 -0.271875) (xy 2.394478 -0.2557) (xy 2.508212 -0.279237) - (xy 2.619809 -0.315786) (xy 2.833572 -0.41454) (xy 3.034727 -0.548618) (xy 3.195786 -0.697977) - (xy 3.258673 -0.781559) (xy 3.355556 -1.005201) (xy 3.405073 -1.267905) (xy 3.403136 -1.535966) - (xy 3.370501 -1.703563) (xy 3.262327 -1.936201) (xy 3.085628 -2.153445) (xy 2.856531 -2.341564) - (xy 2.59116 -2.486827) (xy 2.360355 -2.563726) (xy 2.244211 -2.591375) (xy 1.676525 -2.591375) - (xy 1.514041 -2.65419) (xy 1.286423 -2.695566) (xy 1.068915 -2.694615) (xy 0.877993 -2.653167) - (xy 0.730135 -2.573054) (xy 0.641821 -2.456105) (xy 0.638369 -2.446801) (xy 0.6235 -2.35967) - (xy 0.611221 -2.195592) (xy 0.601818 -1.962254) (xy 0.59558 -1.667344) (xy 0.592791 -1.318551) - (xy 0.592667 -1.221349) (xy 0.322046 -1.221349) (xy 0.322404 -1.276127) (xy 0.325946 -1.643993) - (xy 0.330271 -1.965279) (xy 0.335277 -2.232463) (xy 0.34086 -2.438026) (xy 0.34692 -2.574447) - (xy 0.353354 -2.634206) (xy 0.353779 -2.63525) (xy 0.363368 -2.667807) (xy 0.345102 -2.689154) - (xy 0.285636 -2.701626) (xy 0.171627 -2.707558) (xy -0.01027 -2.709285) (xy -0.069554 -2.709333) - (xy -0.529167 -2.709333) (xy -1.294509 -2.709333) (xy -1.40915 -2.720302) (xy -1.495199 -2.737637) - (xy -1.534173 -2.767616) (xy -1.544723 -2.820072) (xy -1.545167 -2.8575) (xy -1.545167 -2.9845) - (xy 0.275167 -2.9845) (xy 0.716486 -2.984369)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -2.345148 -2.721045) (xy -2.244151 -2.639159) (xy -2.204767 -2.520975) (xy -2.231431 -2.381457) - (xy -2.328579 -2.235573) (xy -2.345267 -2.218266) (xy -2.49102 -2.112579) (xy -2.641541 -2.0712) - (xy -2.777731 -2.096641) (xy -2.850939 -2.15175) (xy -2.917827 -2.279728) (xy -2.916555 -2.420553) - (xy -2.857707 -2.55596) (xy -2.751867 -2.667684) (xy -2.609619 -2.737459) (xy -2.503324 -2.751666) - (xy -2.345148 -2.721045)) (layer F.SilkS) (width 0.01)) - ) - - (module Symbol:KiCad-Logo2_5mm_SilkScreen (layer B.Cu) (tedit 0) (tstamp 61874D8F) - (at 148.3995 110.109 180) - (descr "KiCad Logo") - (tags "Logo KiCad") - (attr virtual) - (fp_text reference REF** (at 0 5.08) (layer B.SilkS) hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value KiCad-Logo2_5mm_SilkScreen (at 0 -5.08) (layer B.Fab) hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_poly (pts (xy -2.9464 2.510946) (xy -2.935535 2.397007) (xy -2.903918 2.289384) (xy -2.853015 2.190385) - (xy -2.784293 2.102316) (xy -2.699219 2.027484) (xy -2.602232 1.969616) (xy -2.495964 1.929995) - (xy -2.38895 1.911427) (xy -2.2833 1.912566) (xy -2.181125 1.93207) (xy -2.084534 1.968594) - (xy -1.995638 2.020795) (xy -1.916546 2.087327) (xy -1.849369 2.166848) (xy -1.796217 2.258013) - (xy -1.759199 2.359477) (xy -1.740427 2.469898) (xy -1.738489 2.519794) (xy -1.738489 2.607733) - (xy -1.68656 2.607733) (xy -1.650253 2.604889) (xy -1.623355 2.593089) (xy -1.596249 2.569351) - (xy -1.557867 2.530969) (xy -1.557867 0.339398) (xy -1.557876 0.077261) (xy -1.557908 -0.163241) - (xy -1.557972 -0.383048) (xy -1.558076 -0.583101) (xy -1.558227 -0.764344) (xy -1.558434 -0.927716) - (xy -1.558706 -1.07416) (xy -1.55905 -1.204617) (xy -1.559474 -1.320029) (xy -1.559987 -1.421338) - (xy -1.560597 -1.509484) (xy -1.561312 -1.58541) (xy -1.56214 -1.650057) (xy -1.563089 -1.704367) - (xy -1.564167 -1.74928) (xy -1.565383 -1.78574) (xy -1.566745 -1.814687) (xy -1.568261 -1.837063) - (xy -1.569938 -1.853809) (xy -1.571786 -1.865868) (xy -1.573813 -1.87418) (xy -1.576025 -1.879687) - (xy -1.577108 -1.881537) (xy -1.581271 -1.888549) (xy -1.584805 -1.894996) (xy -1.588635 -1.9009) - (xy -1.593682 -1.906286) (xy -1.600871 -1.911178) (xy -1.611123 -1.915598) (xy -1.625364 -1.919572) - (xy -1.644514 -1.923121) (xy -1.669499 -1.92627) (xy -1.70124 -1.929042) (xy -1.740662 -1.931461) - (xy -1.788686 -1.933551) (xy -1.846237 -1.935335) (xy -1.914237 -1.936837) (xy -1.99361 -1.93808) - (xy -2.085279 -1.939089) (xy -2.190166 -1.939885) (xy -2.309196 -1.940494) (xy -2.44329 -1.940939) - (xy -2.593373 -1.941243) (xy -2.760367 -1.94143) (xy -2.945196 -1.941524) (xy -3.148783 -1.941548) - (xy -3.37205 -1.941525) (xy -3.615922 -1.94148) (xy -3.881321 -1.941437) (xy -3.919704 -1.941432) - (xy -4.186682 -1.941389) (xy -4.432002 -1.941318) (xy -4.656583 -1.941213) (xy -4.861345 -1.941066) - (xy -5.047206 -1.940869) (xy -5.215088 -1.940616) (xy -5.365908 -1.9403) (xy -5.500587 -1.939913) - (xy -5.620044 -1.939447) (xy -5.725199 -1.938897) (xy -5.816971 -1.938253) (xy -5.896279 -1.937511) - (xy -5.964043 -1.936661) (xy -6.021182 -1.935697) (xy -6.068617 -1.934611) (xy -6.107266 -1.933397) - (xy -6.138049 -1.932047) (xy -6.161885 -1.930555) (xy -6.179694 -1.928911) (xy -6.192395 -1.927111) - (xy -6.200908 -1.925145) (xy -6.205266 -1.923477) (xy -6.213728 -1.919906) (xy -6.221497 -1.91727) - (xy -6.228602 -1.914634) (xy -6.235073 -1.911062) (xy -6.240939 -1.905621) (xy -6.246229 -1.897375) - (xy -6.250974 -1.88539) (xy -6.255202 -1.868731) (xy -6.258943 -1.846463) (xy -6.262227 -1.817652) - (xy -6.265083 -1.781363) (xy -6.26754 -1.736661) (xy -6.269629 -1.682611) (xy -6.271378 -1.618279) - (xy -6.272817 -1.54273) (xy -6.273976 -1.45503) (xy -6.274883 -1.354243) (xy -6.275569 -1.239434) - (xy -6.276063 -1.10967) (xy -6.276395 -0.964015) (xy -6.276593 -0.801535) (xy -6.276687 -0.621295) - (xy -6.276708 -0.42236) (xy -6.276685 -0.203796) (xy -6.276646 0.035332) (xy -6.276622 0.29596) - (xy -6.276622 0.338111) (xy -6.276636 0.601008) (xy -6.276661 0.842268) (xy -6.276671 1.062835) - (xy -6.276642 1.263648) (xy -6.276548 1.445651) (xy -6.276362 1.609784) (xy -6.276059 1.756989) - (xy -6.275614 1.888208) (xy -6.275034 1.998133) (xy -5.972197 1.998133) (xy -5.932407 1.940289) - (xy -5.921236 1.924521) (xy -5.911166 1.910559) (xy -5.902138 1.897216) (xy -5.894097 1.883307) - (xy -5.886986 1.867644) (xy -5.880747 1.849042) (xy -5.875325 1.826314) (xy -5.870662 1.798273) - (xy -5.866701 1.763733) (xy -5.863385 1.721508) (xy -5.860659 1.670411) (xy -5.858464 1.609256) - (xy -5.856745 1.536856) (xy -5.855444 1.452025) (xy -5.854505 1.353578) (xy -5.85387 1.240326) - (xy -5.853484 1.111084) (xy -5.853288 0.964666) (xy -5.853227 0.799884) (xy -5.853243 0.615553) - (xy -5.85328 0.410487) (xy -5.853289 0.287867) (xy -5.853265 0.070918) (xy -5.853231 -0.124642) - (xy -5.853243 -0.299999) (xy -5.853358 -0.456341) (xy -5.85363 -0.594857) (xy -5.854118 -0.716734) - (xy -5.854876 -0.82316) (xy -5.855962 -0.915322) (xy -5.857431 -0.994409) (xy -5.85934 -1.061608) - (xy -5.861744 -1.118107) (xy -5.864701 -1.165093) (xy -5.868266 -1.203755) (xy -5.872495 -1.23528) - (xy -5.877446 -1.260855) (xy -5.883173 -1.28167) (xy -5.889733 -1.298911) (xy -5.897183 -1.313765) - (xy -5.905579 -1.327422) (xy -5.914976 -1.341069) (xy -5.925432 -1.355893) (xy -5.931523 -1.364783) - (xy -5.970296 -1.4224) (xy -5.438732 -1.4224) (xy -5.315483 -1.422365) (xy -5.212987 -1.422215) - (xy -5.12942 -1.421878) (xy -5.062956 -1.421286) (xy -5.011771 -1.420367) (xy -4.974041 -1.419051) - (xy -4.94794 -1.417269) (xy -4.931644 -1.414951) (xy -4.923328 -1.412026) (xy -4.921168 -1.408424) - (xy -4.923339 -1.404075) (xy -4.924535 -1.402645) (xy -4.949685 -1.365573) (xy -4.975583 -1.312772) - (xy -4.999192 -1.25077) (xy -5.007461 -1.224357) (xy -5.012078 -1.206416) (xy -5.015979 -1.185355) - (xy -5.019248 -1.159089) (xy -5.021966 -1.125532) (xy -5.024215 -1.082599) (xy -5.026077 -1.028204) - (xy -5.027636 -0.960262) (xy -5.028972 -0.876688) (xy -5.030169 -0.775395) (xy -5.031308 -0.6543) - (xy -5.031685 -0.6096) (xy -5.032702 -0.484449) (xy -5.03346 -0.380082) (xy -5.033903 -0.294707) - (xy -5.03397 -0.226533) (xy -5.033605 -0.173765) (xy -5.032748 -0.134614) (xy -5.031341 -0.107285) - (xy -5.029325 -0.089986) (xy -5.026643 -0.080926) (xy -5.023236 -0.078312) (xy -5.019044 -0.080351) - (xy -5.014571 -0.084667) (xy -5.004216 -0.097602) (xy -4.982158 -0.126676) (xy -4.949957 -0.169759) - (xy -4.909174 -0.224718) (xy -4.86137 -0.289423) (xy -4.808105 -0.361742) (xy -4.75094 -0.439544) - (xy -4.691437 -0.520698) (xy -4.631155 -0.603072) (xy -4.571655 -0.684536) (xy -4.514498 -0.762957) - (xy -4.461245 -0.836204) (xy -4.413457 -0.902147) (xy -4.372693 -0.958654) (xy -4.340516 -1.003593) - (xy -4.318485 -1.034834) (xy -4.313917 -1.041466) (xy -4.290996 -1.078369) (xy -4.264188 -1.126359) - (xy -4.238789 -1.175897) (xy -4.235568 -1.182577) (xy -4.21389 -1.230772) (xy -4.201304 -1.268334) - (xy -4.195574 -1.30416) (xy -4.194456 -1.3462) (xy -4.19509 -1.4224) (xy -3.040651 -1.4224) - (xy -3.131815 -1.328669) (xy -3.178612 -1.278775) (xy -3.228899 -1.222295) (xy -3.274944 -1.168026) - (xy -3.295369 -1.142673) (xy -3.325807 -1.103128) (xy -3.365862 -1.049916) (xy -3.414361 -0.984667) - (xy -3.470135 -0.909011) (xy -3.532011 -0.824577) (xy -3.598819 -0.732994) (xy -3.669387 -0.635892) - (xy -3.742545 -0.534901) (xy -3.817121 -0.43165) (xy -3.891944 -0.327768) (xy -3.965843 -0.224885) - (xy -4.037646 -0.124631) (xy -4.106184 -0.028636) (xy -4.170284 0.061473) (xy -4.228775 0.144064) - (xy -4.280486 0.217508) (xy -4.324247 0.280176) (xy -4.358885 0.330439) (xy -4.38323 0.366666) - (xy -4.396111 0.387229) (xy -4.397869 0.391332) (xy -4.38991 0.402658) (xy -4.369115 0.429838) - (xy -4.336847 0.471171) (xy -4.29447 0.524956) (xy -4.243347 0.589494) (xy -4.184841 0.663082) - (xy -4.120314 0.744022) (xy -4.051131 0.830612) (xy -3.978653 0.921152) (xy -3.904246 1.01394) - (xy -3.844517 1.088298) (xy -2.833511 1.088298) (xy -2.827602 1.075341) (xy -2.813272 1.053092) - (xy -2.812225 1.051609) (xy -2.793438 1.021456) (xy -2.773791 0.984625) (xy -2.769892 0.976489) - (xy -2.766356 0.96806) (xy -2.76323 0.957941) (xy -2.760486 0.94474) (xy -2.758092 0.927062) - (xy -2.756019 0.903516) (xy -2.754235 0.872707) (xy -2.752712 0.833243) (xy -2.751419 0.783731) - (xy -2.750326 0.722777) (xy -2.749403 0.648989) (xy -2.748619 0.560972) (xy -2.747945 0.457335) - (xy -2.74735 0.336684) (xy -2.746805 0.197626) (xy -2.746279 0.038768) (xy -2.745745 -0.140089) - (xy -2.745206 -0.325207) (xy -2.744772 -0.489145) (xy -2.744509 -0.633303) (xy -2.744484 -0.759079) - (xy -2.744765 -0.867871) (xy -2.745419 -0.961077) (xy -2.746514 -1.040097) (xy -2.748118 -1.106328) - (xy -2.750297 -1.16117) (xy -2.753119 -1.206021) (xy -2.756651 -1.242278) (xy -2.760961 -1.271341) - (xy -2.766117 -1.294609) (xy -2.772185 -1.313479) (xy -2.779233 -1.329351) (xy -2.787329 -1.343622) - (xy -2.79654 -1.357691) (xy -2.80504 -1.370158) (xy -2.822176 -1.396452) (xy -2.832322 -1.414037) - (xy -2.833511 -1.417257) (xy -2.822604 -1.418334) (xy -2.791411 -1.419335) (xy -2.742223 -1.420235) - (xy -2.677333 -1.42101) (xy -2.59903 -1.421637) (xy -2.509607 -1.422091) (xy -2.411356 -1.422349) - (xy -2.342445 -1.4224) (xy -2.237452 -1.42218) (xy -2.14061 -1.421548) (xy -2.054107 -1.420549) - (xy -1.980132 -1.419227) (xy -1.920874 -1.417626) (xy -1.87852 -1.415791) (xy -1.85526 -1.413765) - (xy -1.851378 -1.412493) (xy -1.859076 -1.397591) (xy -1.867074 -1.38956) (xy -1.880246 -1.372434) - (xy -1.897485 -1.342183) (xy -1.909407 -1.317622) (xy -1.936045 -1.258711) (xy -1.93912 -0.081845) - (xy -1.942195 1.095022) (xy -2.387853 1.095022) (xy -2.48567 1.094858) (xy -2.576064 1.094389) - (xy -2.65663 1.093653) (xy -2.724962 1.092684) (xy -2.778656 1.09152) (xy -2.815305 1.090197) - (xy -2.832504 1.088751) (xy -2.833511 1.088298) (xy -3.844517 1.088298) (xy -3.82927 1.107278) - (xy -3.75509 1.199463) (xy -3.683069 1.288796) (xy -3.614569 1.373576) (xy -3.550955 1.452102) - (xy -3.493588 1.522674) (xy -3.443833 1.583591) (xy -3.403052 1.633153) (xy -3.385888 1.653822) - (xy -3.299596 1.754484) (xy -3.222997 1.837741) (xy -3.154183 1.905562) (xy -3.091248 1.959911) - (xy -3.081867 1.967278) (xy -3.042356 1.997883) (xy -4.174116 1.998133) (xy -4.168827 1.950156) - (xy -4.17213 1.892812) (xy -4.193661 1.824537) (xy -4.233635 1.744788) (xy -4.278943 1.672505) - (xy -4.295161 1.64986) (xy -4.323214 1.612304) (xy -4.36143 1.561979) (xy -4.408137 1.501027) - (xy -4.461661 1.431589) (xy -4.520331 1.355806) (xy -4.582475 1.27582) (xy -4.646421 1.193772) - (xy -4.710495 1.111804) (xy -4.773027 1.032057) (xy -4.832343 0.956673) (xy -4.886771 0.887793) - (xy -4.934639 0.827558) (xy -4.974275 0.778111) (xy -5.004006 0.741592) (xy -5.022161 0.720142) - (xy -5.02522 0.716844) (xy -5.028079 0.724851) (xy -5.030293 0.755145) (xy -5.031857 0.807444) - (xy -5.032767 0.881469) (xy -5.03302 0.976937) (xy -5.032613 1.093566) (xy -5.031704 1.213555) - (xy -5.030382 1.345667) (xy -5.028857 1.457406) (xy -5.026881 1.550975) (xy -5.024206 1.628581) - (xy -5.020582 1.692426) (xy -5.015761 1.744717) (xy -5.009494 1.787656) (xy -5.001532 1.823449) - (xy -4.991627 1.8543) (xy -4.979531 1.882414) (xy -4.964993 1.909995) (xy -4.950311 1.935034) - (xy -4.912314 1.998133) (xy -5.972197 1.998133) (xy -6.275034 1.998133) (xy -6.275001 2.004383) - (xy -6.274195 2.106456) (xy -6.27317 2.195367) (xy -6.2719 2.272059) (xy -6.27036 2.337473) - (xy -6.268524 2.392551) (xy -6.266367 2.438235) (xy -6.263863 2.475466) (xy -6.260987 2.505187) - (xy -6.257713 2.528338) (xy -6.254015 2.545861) (xy -6.249869 2.558699) (xy -6.245247 2.567792) - (xy -6.240126 2.574082) (xy -6.234478 2.578512) (xy -6.228279 2.582022) (xy -6.221504 2.585555) - (xy -6.215508 2.589124) (xy -6.210275 2.5917) (xy -6.202099 2.594028) (xy -6.189886 2.596122) - (xy -6.172541 2.597993) (xy -6.148969 2.599653) (xy -6.118077 2.601116) (xy -6.078768 2.602392) - (xy -6.02995 2.603496) (xy -5.970527 2.604439) (xy -5.899404 2.605233) (xy -5.815488 2.605891) - (xy -5.717683 2.606425) (xy -5.604894 2.606847) (xy -5.476029 2.607171) (xy -5.329991 2.607408) - (xy -5.165686 2.60757) (xy -4.98202 2.60767) (xy -4.777897 2.60772) (xy -4.566753 2.607733) - (xy -2.9464 2.607733) (xy -2.9464 2.510946)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.328429 2.050929) (xy 0.48857 2.029755) (xy 0.65251 1.989615) (xy 0.822313 1.930111) - (xy 1.000043 1.850846) (xy 1.01131 1.845301) (xy 1.069005 1.817275) (xy 1.120552 1.793198) - (xy 1.162191 1.774751) (xy 1.190162 1.763614) (xy 1.199733 1.761067) (xy 1.21895 1.756059) - (xy 1.223561 1.751853) (xy 1.218458 1.74142) (xy 1.202418 1.715132) (xy 1.177288 1.675743) - (xy 1.144914 1.626009) (xy 1.107143 1.568685) (xy 1.065822 1.506524) (xy 1.022798 1.442282) - (xy 0.979917 1.378715) (xy 0.939026 1.318575) (xy 0.901971 1.26462) (xy 0.8706 1.219603) - (xy 0.846759 1.186279) (xy 0.832294 1.167403) (xy 0.830309 1.165213) (xy 0.820191 1.169862) - (xy 0.79785 1.187038) (xy 0.76728 1.21356) (xy 0.751536 1.228036) (xy 0.655047 1.303318) - (xy 0.548336 1.358759) (xy 0.432832 1.393859) (xy 0.309962 1.40812) (xy 0.240561 1.406949) - (xy 0.119423 1.389788) (xy 0.010205 1.353906) (xy -0.087418 1.299041) (xy -0.173772 1.22493) - (xy -0.249185 1.131312) (xy -0.313982 1.017924) (xy -0.351399 0.931333) (xy -0.395252 0.795634) - (xy -0.427572 0.64815) (xy -0.448443 0.492686) (xy -0.457949 0.333044) (xy -0.456173 0.173027) - (xy -0.443197 0.016439) (xy -0.419106 -0.132918) (xy -0.383982 -0.27124) (xy -0.337908 -0.394724) - (xy -0.321627 -0.428978) (xy -0.25338 -0.543064) (xy -0.172921 -0.639557) (xy -0.08143 -0.71767) - (xy 0.019911 -0.776617) (xy 0.12992 -0.815612) (xy 0.247415 -0.833868) (xy 0.288883 -0.835211) - (xy 0.410441 -0.82429) (xy 0.530878 -0.791474) (xy 0.648666 -0.737439) (xy 0.762277 -0.662865) - (xy 0.853685 -0.584539) (xy 0.900215 -0.540008) (xy 1.081483 -0.837271) (xy 1.12658 -0.911433) - (xy 1.167819 -0.979646) (xy 1.203735 -1.039459) (xy 1.232866 -1.08842) (xy 1.25375 -1.124079) - (xy 1.264924 -1.143984) (xy 1.266375 -1.147079) (xy 1.258146 -1.156718) (xy 1.232567 -1.173999) - (xy 1.192873 -1.197283) (xy 1.142297 -1.224934) (xy 1.084074 -1.255315) (xy 1.021437 -1.28679) - (xy 0.957621 -1.317722) (xy 0.89586 -1.346473) (xy 0.839388 -1.371408) (xy 0.791438 -1.390889) - (xy 0.767986 -1.399318) (xy 0.634221 -1.437133) (xy 0.496327 -1.462136) (xy 0.348622 -1.47514) - (xy 0.221833 -1.477468) (xy 0.153878 -1.476373) (xy 0.088277 -1.474275) (xy 0.030847 -1.471434) - (xy -0.012597 -1.468106) (xy -0.026702 -1.466422) (xy -0.165716 -1.437587) (xy -0.307243 -1.392468) - (xy -0.444725 -1.33375) (xy -0.571606 -1.26412) (xy -0.649111 -1.211441) (xy -0.776519 -1.103239) - (xy -0.894822 -0.976671) (xy -1.001828 -0.834866) (xy -1.095348 -0.680951) (xy -1.17319 -0.518053) - (xy -1.217044 -0.400756) (xy -1.267292 -0.217128) (xy -1.300791 -0.022581) (xy -1.317551 0.178675) - (xy -1.317584 0.382432) (xy -1.300899 0.584479) (xy -1.267507 0.780608) (xy -1.21742 0.966609) - (xy -1.213603 0.978197) (xy -1.150719 1.14025) (xy -1.073972 1.288168) (xy -0.980758 1.426135) - (xy -0.868473 1.558339) (xy -0.824608 1.603601) (xy -0.688466 1.727543) (xy -0.548509 1.830085) - (xy -0.402589 1.912344) (xy -0.248558 1.975436) (xy -0.084268 2.020477) (xy 0.011289 2.037967) - (xy 0.170023 2.053534) (xy 0.328429 2.050929)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy 2.673574 1.133448) (xy 2.825492 1.113433) (xy 2.960756 1.079798) (xy 3.080239 1.032275) - (xy 3.184815 0.970595) (xy 3.262424 0.907035) (xy 3.331265 0.832901) (xy 3.385006 0.753129) - (xy 3.42791 0.660909) (xy 3.443384 0.617839) (xy 3.456244 0.578858) (xy 3.467446 0.542711) - (xy 3.47712 0.507566) (xy 3.485396 0.47159) (xy 3.492403 0.43295) (xy 3.498272 0.389815) - (xy 3.503131 0.340351) (xy 3.50711 0.282727) (xy 3.51034 0.215109) (xy 3.512949 0.135666) - (xy 3.515067 0.042564) (xy 3.516824 -0.066027) (xy 3.518349 -0.191942) (xy 3.519772 -0.337012) - (xy 3.521025 -0.479778) (xy 3.522351 -0.635968) (xy 3.523556 -0.771239) (xy 3.524766 -0.887246) - (xy 3.526106 -0.985645) (xy 3.5277 -1.068093) (xy 3.529675 -1.136246) (xy 3.532156 -1.19176) - (xy 3.535269 -1.236292) (xy 3.539138 -1.271498) (xy 3.543889 -1.299034) (xy 3.549648 -1.320556) - (xy 3.556539 -1.337722) (xy 3.564689 -1.352186) (xy 3.574223 -1.365606) (xy 3.585266 -1.379638) - (xy 3.589566 -1.385071) (xy 3.605386 -1.40791) (xy 3.612422 -1.423463) (xy 3.612444 -1.423922) - (xy 3.601567 -1.426121) (xy 3.570582 -1.428147) (xy 3.521957 -1.429942) (xy 3.458163 -1.431451) - (xy 3.381669 -1.432616) (xy 3.294944 -1.43338) (xy 3.200457 -1.433686) (xy 3.18955 -1.433689) - (xy 2.766657 -1.433689) (xy 2.763395 -1.337622) (xy 2.760133 -1.241556) (xy 2.698044 -1.292543) - (xy 2.600714 -1.360057) (xy 2.490813 -1.414749) (xy 2.404349 -1.444978) (xy 2.335278 -1.459666) - (xy 2.251925 -1.469659) (xy 2.162159 -1.474646) (xy 2.073845 -1.474313) (xy 1.994851 -1.468351) - (xy 1.958622 -1.462638) (xy 1.818603 -1.424776) (xy 1.692178 -1.369932) (xy 1.58026 -1.298924) - (xy 1.483762 -1.212568) (xy 1.4036 -1.111679) (xy 1.340687 -0.997076) (xy 1.296312 -0.870984) - (xy 1.283978 -0.814401) (xy 1.276368 -0.752202) (xy 1.272739 -0.677363) (xy 1.272245 -0.643467) - (xy 1.27231 -0.640282) (xy 2.032248 -0.640282) (xy 2.041541 -0.715333) (xy 2.069728 -0.77916) - (xy 2.118197 -0.834798) (xy 2.123254 -0.839211) (xy 2.171548 -0.874037) (xy 2.223257 -0.89662) - (xy 2.283989 -0.90854) (xy 2.359352 -0.911383) (xy 2.377459 -0.910978) (xy 2.431278 -0.908325) - (xy 2.471308 -0.902909) (xy 2.506324 -0.892745) (xy 2.545103 -0.87585) (xy 2.555745 -0.870672) - (xy 2.616396 -0.834844) (xy 2.663215 -0.792212) (xy 2.675952 -0.776973) (xy 2.720622 -0.720462) - (xy 2.720622 -0.524586) (xy 2.720086 -0.445939) (xy 2.718396 -0.387988) (xy 2.715428 -0.348875) - (xy 2.711057 -0.326741) (xy 2.706972 -0.320274) (xy 2.691047 -0.317111) (xy 2.657264 -0.314488) - (xy 2.61034 -0.312655) (xy 2.554993 -0.311857) (xy 2.546106 -0.311842) (xy 2.42533 -0.317096) - (xy 2.32266 -0.333263) (xy 2.236106 -0.360961) (xy 2.163681 -0.400808) (xy 2.108751 -0.447758) - (xy 2.064204 -0.505645) (xy 2.03948 -0.568693) (xy 2.032248 -0.640282) (xy 1.27231 -0.640282) - (xy 1.274178 -0.549712) (xy 1.282522 -0.470812) (xy 1.298768 -0.39959) (xy 1.324405 -0.328864) - (xy 1.348401 -0.276493) (xy 1.40702 -0.181196) (xy 1.485117 -0.09317) (xy 1.580315 -0.014017) - (xy 1.690238 0.05466) (xy 1.81251 0.111259) (xy 1.944755 0.154179) (xy 2.009422 0.169118) - (xy 2.145604 0.191223) (xy 2.294049 0.205806) (xy 2.445505 0.212187) (xy 2.572064 0.210555) - (xy 2.73395 0.203776) (xy 2.72653 0.262755) (xy 2.707238 0.361908) (xy 2.676104 0.442628) - (xy 2.632269 0.505534) (xy 2.574871 0.551244) (xy 2.503048 0.580378) (xy 2.415941 0.593553) - (xy 2.312686 0.591389) (xy 2.274711 0.587388) (xy 2.13352 0.56222) (xy 1.996707 0.521186) - (xy 1.902178 0.483185) (xy 1.857018 0.46381) (xy 1.818585 0.44824) (xy 1.792234 0.438595) - (xy 1.784546 0.436548) (xy 1.774802 0.445626) (xy 1.758083 0.474595) (xy 1.734232 0.523783) - (xy 1.703093 0.593516) (xy 1.664507 0.684121) (xy 1.65791 0.699911) (xy 1.627853 0.772228) - (xy 1.600874 0.837575) (xy 1.578136 0.893094) (xy 1.560806 0.935928) (xy 1.550048 0.963219) - (xy 1.546941 0.972058) (xy 1.55694 0.976813) (xy 1.583217 0.98209) (xy 1.611489 0.985769) - (xy 1.641646 0.990526) (xy 1.689433 0.999972) (xy 1.750612 1.01318) (xy 1.820946 1.029224) - (xy 1.896194 1.04718) (xy 1.924755 1.054203) (xy 2.029816 1.079791) (xy 2.11748 1.099853) - (xy 2.192068 1.115031) (xy 2.257903 1.125965) (xy 2.319307 1.133296) (xy 2.380602 1.137665) - (xy 2.44611 1.139713) (xy 2.504128 1.140111) (xy 2.673574 1.133448)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy 6.186507 0.527755) (xy 6.186526 0.293338) (xy 6.186552 0.080397) (xy 6.186625 -0.112168) - (xy 6.186782 -0.285459) (xy 6.187064 -0.440576) (xy 6.187509 -0.57862) (xy 6.188156 -0.700692) - (xy 6.189045 -0.807894) (xy 6.190213 -0.901326) (xy 6.191701 -0.98209) (xy 6.193546 -1.051286) - (xy 6.195789 -1.110015) (xy 6.198469 -1.159379) (xy 6.201623 -1.200478) (xy 6.205292 -1.234413) - (xy 6.209513 -1.262286) (xy 6.214327 -1.285198) (xy 6.219773 -1.304249) (xy 6.225888 -1.32054) - (xy 6.232712 -1.335173) (xy 6.240285 -1.349249) (xy 6.248645 -1.363868) (xy 6.253839 -1.372974) - (xy 6.288104 -1.433689) (xy 5.429955 -1.433689) (xy 5.429955 -1.337733) (xy 5.429224 -1.29437) - (xy 5.427272 -1.261205) (xy 5.424463 -1.243424) (xy 5.423221 -1.241778) (xy 5.411799 -1.248662) - (xy 5.389084 -1.266505) (xy 5.366385 -1.285879) (xy 5.3118 -1.326614) (xy 5.242321 -1.367617) - (xy 5.16527 -1.405123) (xy 5.087965 -1.435364) (xy 5.057113 -1.445012) (xy 4.988616 -1.459578) - (xy 4.905764 -1.469539) (xy 4.816371 -1.474583) (xy 4.728248 -1.474396) (xy 4.649207 -1.468666) - (xy 4.611511 -1.462858) (xy 4.473414 -1.424797) (xy 4.346113 -1.367073) (xy 4.230292 -1.290211) - (xy 4.126637 -1.194739) (xy 4.035833 -1.081179) (xy 3.969031 -0.970381) (xy 3.914164 -0.853625) - (xy 3.872163 -0.734276) (xy 3.842167 -0.608283) (xy 3.823311 -0.471594) (xy 3.814732 -0.320158) - (xy 3.814006 -0.242711) (xy 3.8161 -0.185934) (xy 4.645217 -0.185934) (xy 4.645424 -0.279002) - (xy 4.648337 -0.366692) (xy 4.654 -0.443772) (xy 4.662455 -0.505009) (xy 4.665038 -0.51735) - (xy 4.69684 -0.624633) (xy 4.738498 -0.711658) (xy 4.790363 -0.778642) (xy 4.852781 -0.825805) - (xy 4.9261 -0.853365) (xy 5.010669 -0.861541) (xy 5.106835 -0.850551) (xy 5.170311 -0.834829) - (xy 5.219454 -0.816639) (xy 5.273583 -0.790791) (xy 5.314244 -0.767089) (xy 5.3848 -0.720721) - (xy 5.3848 0.42947) (xy 5.317392 0.473038) (xy 5.238867 0.51396) (xy 5.154681 0.540611) - (xy 5.069557 0.552535) (xy 4.988216 0.549278) (xy 4.91538 0.530385) (xy 4.883426 0.514816) - (xy 4.825501 0.471819) (xy 4.776544 0.415047) (xy 4.73539 0.342425) (xy 4.700874 0.251879) - (xy 4.671833 0.141334) (xy 4.670552 0.135467) (xy 4.660381 0.073212) (xy 4.652739 -0.004594) - (xy 4.64767 -0.09272) (xy 4.645217 -0.185934) (xy 3.8161 -0.185934) (xy 3.821857 -0.029895) - (xy 3.843802 0.165941) (xy 3.879786 0.344668) (xy 3.929759 0.506155) (xy 3.993668 0.650274) - (xy 4.071462 0.776894) (xy 4.163089 0.885885) (xy 4.268497 0.977117) (xy 4.313662 1.008068) - (xy 4.414611 1.064215) (xy 4.517901 1.103826) (xy 4.627989 1.127986) (xy 4.74933 1.137781) - (xy 4.841836 1.136735) (xy 4.97149 1.125769) (xy 5.084084 1.103954) (xy 5.182875 1.070286) - (xy 5.271121 1.023764) (xy 5.319986 0.989552) (xy 5.349353 0.967638) (xy 5.371043 0.952667) - (xy 5.379253 0.948267) (xy 5.380868 0.959096) (xy 5.382159 0.989749) (xy 5.383138 1.037474) - (xy 5.383817 1.099521) (xy 5.38421 1.173138) (xy 5.38433 1.255573) (xy 5.384188 1.344075) - (xy 5.383797 1.435893) (xy 5.383171 1.528276) (xy 5.38232 1.618472) (xy 5.38126 1.703729) - (xy 5.380001 1.781297) (xy 5.378556 1.848424) (xy 5.376938 1.902359) (xy 5.375161 1.94035) - (xy 5.374669 1.947333) (xy 5.367092 2.017749) (xy 5.355531 2.072898) (xy 5.337792 2.120019) - (xy 5.311682 2.166353) (xy 5.305415 2.175933) (xy 5.280983 2.212622) (xy 6.186311 2.212622) - (xy 6.186507 0.527755)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy -2.273043 2.973429) (xy -2.176768 2.949191) (xy -2.090184 2.906359) (xy -2.015373 2.846581) - (xy -1.954418 2.771506) (xy -1.909399 2.68278) (xy -1.883136 2.58647) (xy -1.877286 2.489205) - (xy -1.89214 2.395346) (xy -1.92584 2.307489) (xy -1.976528 2.22823) (xy -2.042345 2.160164) - (xy -2.121434 2.105888) (xy -2.211934 2.067998) (xy -2.2632 2.055574) (xy -2.307698 2.048053) - (xy -2.341999 2.045081) (xy -2.37496 2.046906) (xy -2.415434 2.053775) (xy -2.448531 2.06075) - (xy -2.541947 2.092259) (xy -2.625619 2.143383) (xy -2.697665 2.212571) (xy -2.7562 2.298272) - (xy -2.770148 2.325511) (xy -2.786586 2.361878) (xy -2.796894 2.392418) (xy -2.80246 2.42455) - (xy -2.804669 2.465693) (xy -2.804948 2.511778) (xy -2.800861 2.596135) (xy -2.787446 2.665414) - (xy -2.762256 2.726039) (xy -2.722846 2.784433) (xy -2.684298 2.828698) (xy -2.612406 2.894516) - (xy -2.537313 2.939947) (xy -2.454562 2.96715) (xy -2.376928 2.977424) (xy -2.273043 2.973429)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy -6.121371 -2.269066) (xy -6.081889 -2.269467) (xy -5.9662 -2.272259) (xy -5.869311 -2.28055) - (xy -5.787919 -2.295232) (xy -5.718723 -2.317193) (xy -5.65842 -2.347322) (xy -5.603708 -2.38651) - (xy -5.584167 -2.403532) (xy -5.55175 -2.443363) (xy -5.52252 -2.497413) (xy -5.499991 -2.557323) - (xy -5.487679 -2.614739) (xy -5.4864 -2.635956) (xy -5.494417 -2.694769) (xy -5.515899 -2.759013) - (xy -5.546999 -2.819821) (xy -5.583866 -2.86833) (xy -5.589854 -2.874182) (xy -5.640579 -2.915321) - (xy -5.696125 -2.947435) (xy -5.759696 -2.971365) (xy -5.834494 -2.987953) (xy -5.923722 -2.998041) - (xy -6.030582 -3.002469) (xy -6.079528 -3.002845) (xy -6.141762 -3.002545) (xy -6.185528 -3.001292) - (xy -6.214931 -2.998554) (xy -6.234079 -2.993801) (xy -6.247077 -2.986501) (xy -6.254045 -2.980267) - (xy -6.260626 -2.972694) (xy -6.265788 -2.962924) (xy -6.269703 -2.94834) (xy -6.272543 -2.926326) - (xy -6.27448 -2.894264) (xy -6.275684 -2.849536) (xy -6.276328 -2.789526) (xy -6.276583 -2.711617) - (xy -6.276622 -2.635956) (xy -6.27687 -2.535041) (xy -6.276817 -2.454427) (xy -6.275857 -2.415822) - (xy -6.129867 -2.415822) (xy -6.129867 -2.856089) (xy -6.036734 -2.856004) (xy -5.980693 -2.854396) - (xy -5.921999 -2.850256) (xy -5.873028 -2.844464) (xy -5.871538 -2.844226) (xy -5.792392 -2.82509) - (xy -5.731002 -2.795287) (xy -5.684305 -2.752878) (xy -5.654635 -2.706961) (xy -5.636353 -2.656026) - (xy -5.637771 -2.6082) (xy -5.658988 -2.556933) (xy -5.700489 -2.503899) (xy -5.757998 -2.4646) - (xy -5.83275 -2.438331) (xy -5.882708 -2.429035) (xy -5.939416 -2.422507) (xy -5.999519 -2.417782) - (xy -6.050639 -2.415817) (xy -6.053667 -2.415808) (xy -6.129867 -2.415822) (xy -6.275857 -2.415822) - (xy -6.27526 -2.391851) (xy -6.270998 -2.345055) (xy -6.26283 -2.311778) (xy -6.249556 -2.289759) - (xy -6.229974 -2.276739) (xy -6.202883 -2.270457) (xy -6.167082 -2.268653) (xy -6.121371 -2.269066)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy -4.712794 -2.269146) (xy -4.643386 -2.269518) (xy -4.590997 -2.270385) (xy -4.552847 -2.271946) - (xy -4.526159 -2.274403) (xy -4.508153 -2.277957) (xy -4.496049 -2.28281) (xy -4.487069 -2.289161) - (xy -4.483818 -2.292084) (xy -4.464043 -2.323142) (xy -4.460482 -2.358828) (xy -4.473491 -2.39051) - (xy -4.479506 -2.396913) (xy -4.489235 -2.403121) (xy -4.504901 -2.40791) (xy -4.529408 -2.411514) - (xy -4.565661 -2.414164) (xy -4.616565 -2.416095) (xy -4.685026 -2.417539) (xy -4.747617 -2.418418) - (xy -4.995334 -2.421467) (xy -4.998719 -2.486378) (xy -5.002105 -2.551289) (xy -4.833958 -2.551289) - (xy -4.760959 -2.551919) (xy -4.707517 -2.554553) (xy -4.670628 -2.560309) (xy -4.647288 -2.570304) - (xy -4.634494 -2.585656) (xy -4.629242 -2.607482) (xy -4.628445 -2.627738) (xy -4.630923 -2.652592) - (xy -4.640277 -2.670906) (xy -4.659383 -2.683637) (xy -4.691118 -2.691741) (xy -4.738359 -2.696176) - (xy -4.803983 -2.697899) (xy -4.839801 -2.698045) (xy -5.000978 -2.698045) (xy -5.000978 -2.856089) - (xy -4.752622 -2.856089) (xy -4.671213 -2.856202) (xy -4.609342 -2.856712) (xy -4.563968 -2.85787) - (xy -4.532054 -2.85993) (xy -4.510559 -2.863146) (xy -4.496443 -2.867772) (xy -4.486668 -2.874059) - (xy -4.481689 -2.878667) (xy -4.46461 -2.90556) (xy -4.459111 -2.929467) (xy -4.466963 -2.958667) - (xy -4.481689 -2.980267) (xy -4.489546 -2.987066) (xy -4.499688 -2.992346) (xy -4.514844 -2.996298) - (xy -4.537741 -2.999113) (xy -4.571109 -3.000982) (xy -4.617675 -3.002098) (xy -4.680167 -3.002651) - (xy -4.761314 -3.002833) (xy -4.803422 -3.002845) (xy -4.893598 -3.002765) (xy -4.963924 -3.002398) - (xy -5.017129 -3.001552) (xy -5.05594 -3.000036) (xy -5.083087 -2.997659) (xy -5.101298 -2.994229) - (xy -5.1133 -2.989554) (xy -5.121822 -2.983444) (xy -5.125156 -2.980267) (xy -5.131755 -2.97267) - (xy -5.136927 -2.96287) (xy -5.140846 -2.948239) (xy -5.143684 -2.926152) (xy -5.145615 -2.893982) - (xy -5.146812 -2.849103) (xy -5.147448 -2.788889) (xy -5.147697 -2.710713) (xy -5.147734 -2.637923) - (xy -5.1477 -2.544707) (xy -5.147465 -2.471431) (xy -5.14683 -2.415458) (xy -5.145594 -2.374151) - (xy -5.143556 -2.344872) (xy -5.140517 -2.324984) (xy -5.136277 -2.31185) (xy -5.130635 -2.302832) - (xy -5.123391 -2.295293) (xy -5.121606 -2.293612) (xy -5.112945 -2.286172) (xy -5.102882 -2.280409) - (xy -5.088625 -2.276112) (xy -5.067383 -2.273064) (xy -5.036364 -2.271051) (xy -4.992777 -2.26986) - (xy -4.933831 -2.269275) (xy -4.856734 -2.269083) (xy -4.802001 -2.269067) (xy -4.712794 -2.269146)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy -3.691703 -2.270351) (xy -3.616888 -2.275581) (xy -3.547306 -2.28375) (xy -3.487002 -2.29455) - (xy -3.44002 -2.307673) (xy -3.410406 -2.322813) (xy -3.40586 -2.327269) (xy -3.390054 -2.36185) - (xy -3.394847 -2.397351) (xy -3.419364 -2.427725) (xy -3.420534 -2.428596) (xy -3.434954 -2.437954) - (xy -3.450008 -2.442876) (xy -3.471005 -2.443473) (xy -3.503257 -2.439861) (xy -3.552073 -2.432154) - (xy -3.556 -2.431505) (xy -3.628739 -2.422569) (xy -3.707217 -2.418161) (xy -3.785927 -2.418119) - (xy -3.859361 -2.422279) (xy -3.922011 -2.430479) (xy -3.96837 -2.442557) (xy -3.971416 -2.443771) - (xy -4.005048 -2.462615) (xy -4.016864 -2.481685) (xy -4.007614 -2.500439) (xy -3.978047 -2.518337) - (xy -3.928911 -2.534837) (xy -3.860957 -2.549396) (xy -3.815645 -2.556406) (xy -3.721456 -2.569889) - (xy -3.646544 -2.582214) (xy -3.587717 -2.594449) (xy -3.541785 -2.607661) (xy -3.505555 -2.622917) - (xy -3.475838 -2.641285) (xy -3.449442 -2.663831) (xy -3.42823 -2.685971) (xy -3.403065 -2.716819) - (xy -3.390681 -2.743345) (xy -3.386808 -2.776026) (xy -3.386667 -2.787995) (xy -3.389576 -2.827712) - (xy -3.401202 -2.857259) (xy -3.421323 -2.883486) (xy -3.462216 -2.923576) (xy -3.507817 -2.954149) - (xy -3.561513 -2.976203) (xy -3.626692 -2.990735) (xy -3.706744 -2.998741) (xy -3.805057 -3.001218) - (xy -3.821289 -3.001177) (xy -3.886849 -2.999818) (xy -3.951866 -2.99673) (xy -4.009252 -2.992356) - (xy -4.051922 -2.98714) (xy -4.055372 -2.986541) (xy -4.097796 -2.976491) (xy -4.13378 -2.963796) - (xy -4.15415 -2.95219) (xy -4.173107 -2.921572) (xy -4.174427 -2.885918) (xy -4.158085 -2.854144) - (xy -4.154429 -2.850551) (xy -4.139315 -2.839876) (xy -4.120415 -2.835276) (xy -4.091162 -2.836059) - (xy -4.055651 -2.840127) (xy -4.01597 -2.843762) (xy -3.960345 -2.846828) (xy -3.895406 -2.849053) - (xy -3.827785 -2.850164) (xy -3.81 -2.850237) (xy -3.742128 -2.849964) (xy -3.692454 -2.848646) - (xy -3.65661 -2.845827) (xy -3.630224 -2.84105) (xy -3.608926 -2.833857) (xy -3.596126 -2.827867) - (xy -3.568 -2.811233) (xy -3.550068 -2.796168) (xy -3.547447 -2.791897) (xy -3.552976 -2.774263) - (xy -3.57926 -2.757192) (xy -3.624478 -2.741458) (xy -3.686808 -2.727838) (xy -3.705171 -2.724804) - (xy -3.80109 -2.709738) (xy -3.877641 -2.697146) (xy -3.93778 -2.686111) (xy -3.98446 -2.67572) - (xy -4.020637 -2.665056) (xy -4.049265 -2.653205) (xy -4.073298 -2.639251) (xy -4.095692 -2.622281) - (xy -4.119402 -2.601378) (xy -4.12738 -2.594049) (xy -4.155353 -2.566699) (xy -4.17016 -2.545029) - (xy -4.175952 -2.520232) (xy -4.176889 -2.488983) (xy -4.166575 -2.427705) (xy -4.135752 -2.37564) - (xy -4.084595 -2.332958) (xy -4.013283 -2.299825) (xy -3.9624 -2.284964) (xy -3.9071 -2.275366) - (xy -3.840853 -2.269936) (xy -3.767706 -2.268367) (xy -3.691703 -2.270351)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy -2.923822 -2.291645) (xy -2.917242 -2.299218) (xy -2.912079 -2.308987) (xy -2.908164 -2.323571) - (xy -2.905324 -2.345585) (xy -2.903387 -2.377648) (xy -2.902183 -2.422375) (xy -2.901539 -2.482385) - (xy -2.901284 -2.560294) (xy -2.901245 -2.635956) (xy -2.901314 -2.729802) (xy -2.901638 -2.803689) - (xy -2.902386 -2.860232) (xy -2.903732 -2.902049) (xy -2.905846 -2.931757) (xy -2.9089 -2.951973) - (xy -2.913066 -2.965314) (xy -2.918516 -2.974398) (xy -2.923822 -2.980267) (xy -2.956826 -2.999947) - (xy -2.991991 -2.998181) (xy -3.023455 -2.976717) (xy -3.030684 -2.968337) (xy -3.036334 -2.958614) - (xy -3.040599 -2.944861) (xy -3.043673 -2.924389) (xy -3.045752 -2.894512) (xy -3.04703 -2.852541) - (xy -3.047701 -2.795789) (xy -3.047959 -2.721567) (xy -3.048 -2.637537) (xy -3.048 -2.324485) - (xy -3.020291 -2.296776) (xy -2.986137 -2.273463) (xy -2.953006 -2.272623) (xy -2.923822 -2.291645)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.950081 -2.274599) (xy -1.881565 -2.286095) (xy -1.828943 -2.303967) (xy -1.794708 -2.327499) - (xy -1.785379 -2.340924) (xy -1.775893 -2.372148) (xy -1.782277 -2.400395) (xy -1.80243 -2.427182) - (xy -1.833745 -2.439713) (xy -1.879183 -2.438696) (xy -1.914326 -2.431906) (xy -1.992419 -2.418971) - (xy -2.072226 -2.417742) (xy -2.161555 -2.428241) (xy -2.186229 -2.43269) (xy -2.269291 -2.456108) - (xy -2.334273 -2.490945) (xy -2.380461 -2.536604) (xy -2.407145 -2.592494) (xy -2.412663 -2.621388) - (xy -2.409051 -2.680012) (xy -2.385729 -2.731879) (xy -2.344824 -2.775978) (xy -2.288459 -2.811299) - (xy -2.21876 -2.836829) (xy -2.137852 -2.851559) (xy -2.04786 -2.854478) (xy -1.95091 -2.844575) - (xy -1.945436 -2.843641) (xy -1.906875 -2.836459) (xy -1.885494 -2.829521) (xy -1.876227 -2.819227) - (xy -1.874006 -2.801976) (xy -1.873956 -2.792841) (xy -1.873956 -2.754489) (xy -1.942431 -2.754489) - (xy -2.0029 -2.750347) (xy -2.044165 -2.737147) (xy -2.068175 -2.71373) (xy -2.076877 -2.678936) - (xy -2.076983 -2.674394) (xy -2.071892 -2.644654) (xy -2.054433 -2.623419) (xy -2.021939 -2.609366) - (xy -1.971743 -2.601173) (xy -1.923123 -2.598161) (xy -1.852456 -2.596433) (xy -1.801198 -2.59907) - (xy -1.766239 -2.6088) (xy -1.74447 -2.628353) (xy -1.73278 -2.660456) (xy -1.72806 -2.707838) - (xy -1.7272 -2.770071) (xy -1.728609 -2.839535) (xy -1.732848 -2.886786) (xy -1.739936 -2.912012) - (xy -1.741311 -2.913988) (xy -1.780228 -2.945508) (xy -1.837286 -2.97047) (xy -1.908869 -2.98834) - (xy -1.991358 -2.998586) (xy -2.081139 -3.000673) (xy -2.174592 -2.994068) (xy -2.229556 -2.985956) - (xy -2.315766 -2.961554) (xy -2.395892 -2.921662) (xy -2.462977 -2.869887) (xy -2.473173 -2.859539) - (xy -2.506302 -2.816035) (xy -2.536194 -2.762118) (xy -2.559357 -2.705592) (xy -2.572298 -2.654259) - (xy -2.573858 -2.634544) (xy -2.567218 -2.593419) (xy -2.549568 -2.542252) (xy -2.524297 -2.488394) - (xy -2.494789 -2.439195) (xy -2.468719 -2.406334) (xy -2.407765 -2.357452) (xy -2.328969 -2.318545) - (xy -2.235157 -2.290494) (xy -2.12915 -2.274179) (xy -2.032 -2.270192) (xy -1.950081 -2.274599)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.300114 -2.273448) (xy -1.276548 -2.287273) (xy -1.245735 -2.309881) (xy -1.206078 -2.342338) - (xy -1.15598 -2.385708) (xy -1.093843 -2.441058) (xy -1.018072 -2.509451) (xy -0.931334 -2.588084) - (xy -0.750711 -2.751878) (xy -0.745067 -2.532029) (xy -0.743029 -2.456351) (xy -0.741063 -2.399994) - (xy -0.738734 -2.359706) (xy -0.735606 -2.332235) (xy -0.731245 -2.314329) (xy -0.725216 -2.302737) - (xy -0.717084 -2.294208) (xy -0.712772 -2.290623) (xy -0.678241 -2.27167) (xy -0.645383 -2.274441) - (xy -0.619318 -2.290633) (xy -0.592667 -2.312199) (xy -0.589352 -2.627151) (xy -0.588435 -2.719779) - (xy -0.587968 -2.792544) (xy -0.588113 -2.848161) (xy -0.589032 -2.889342) (xy -0.590887 -2.918803) - (xy -0.593839 -2.939255) (xy -0.59805 -2.953413) (xy -0.603682 -2.963991) (xy -0.609927 -2.972474) - (xy -0.623439 -2.988207) (xy -0.636883 -2.998636) (xy -0.652124 -3.002639) (xy -0.671026 -2.999094) - (xy -0.695455 -2.986879) (xy -0.727273 -2.964871) (xy -0.768348 -2.931949) (xy -0.820542 -2.886991) - (xy -0.885722 -2.828875) (xy -0.959556 -2.762099) (xy -1.224845 -2.521458) (xy -1.230489 -2.740589) - (xy -1.232531 -2.816128) (xy -1.234502 -2.872354) (xy -1.236839 -2.912524) (xy -1.239981 -2.939896) - (xy -1.244364 -2.957728) (xy -1.250424 -2.969279) (xy -1.2586 -2.977807) (xy -1.262784 -2.981282) - (xy -1.299765 -3.000372) (xy -1.334708 -2.997493) (xy -1.365136 -2.9731) (xy -1.372097 -2.963286) - (xy -1.377523 -2.951826) (xy -1.381603 -2.935968) (xy -1.384529 -2.912963) (xy -1.386492 -2.880062) - (xy -1.387683 -2.834516) (xy -1.388292 -2.773573) (xy -1.388511 -2.694486) (xy -1.388534 -2.635956) - (xy -1.38846 -2.544407) (xy -1.388113 -2.472687) (xy -1.387301 -2.418045) (xy -1.385833 -2.377732) - (xy -1.383519 -2.348998) (xy -1.380167 -2.329093) (xy -1.375588 -2.315268) (xy -1.369589 -2.304772) - (xy -1.365136 -2.298811) (xy -1.35385 -2.284691) (xy -1.343301 -2.274029) (xy -1.331893 -2.267892) - (xy -1.31803 -2.267343) (xy -1.300114 -2.273448)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.230343 -2.26926) (xy 0.306701 -2.270174) (xy 0.365217 -2.272311) (xy 0.408255 -2.276175) - (xy 0.438183 -2.282267) (xy 0.457368 -2.29109) (xy 0.468176 -2.303146) (xy 0.472973 -2.318939) - (xy 0.474127 -2.33897) (xy 0.474133 -2.341335) (xy 0.473131 -2.363992) (xy 0.468396 -2.381503) - (xy 0.457333 -2.394574) (xy 0.437348 -2.403913) (xy 0.405846 -2.410227) (xy 0.360232 -2.414222) - (xy 0.297913 -2.416606) (xy 0.216293 -2.418086) (xy 0.191277 -2.418414) (xy -0.0508 -2.421467) - (xy -0.054186 -2.486378) (xy -0.057571 -2.551289) (xy 0.110576 -2.551289) (xy 0.176266 -2.551531) - (xy 0.223172 -2.552556) (xy 0.255083 -2.554811) (xy 0.275791 -2.558742) (xy 0.289084 -2.564798) - (xy 0.298755 -2.573424) (xy 0.298817 -2.573493) (xy 0.316356 -2.607112) (xy 0.315722 -2.643448) - (xy 0.297314 -2.674423) (xy 0.293671 -2.677607) (xy 0.280741 -2.685812) (xy 0.263024 -2.691521) - (xy 0.23657 -2.695162) (xy 0.197432 -2.697167) (xy 0.141662 -2.697964) (xy 0.105994 -2.698045) - (xy -0.056445 -2.698045) (xy -0.056445 -2.856089) (xy 0.190161 -2.856089) (xy 0.27158 -2.856231) - (xy 0.33341 -2.856814) (xy 0.378637 -2.858068) (xy 0.410248 -2.860227) (xy 0.431231 -2.863523) - (xy 0.444573 -2.868189) (xy 0.453261 -2.874457) (xy 0.45545 -2.876733) (xy 0.471614 -2.90828) - (xy 0.472797 -2.944168) (xy 0.459536 -2.975285) (xy 0.449043 -2.985271) (xy 0.438129 -2.990769) - (xy 0.421217 -2.995022) (xy 0.395633 -2.99818) (xy 0.358701 -3.000392) (xy 0.307746 -3.001806) - (xy 0.240094 -3.002572) (xy 0.153069 -3.002838) (xy 0.133394 -3.002845) (xy 0.044911 -3.002787) - (xy -0.023773 -3.002467) (xy -0.075436 -3.001667) (xy -0.112855 -3.000167) (xy -0.13881 -2.997749) - (xy -0.156078 -2.994194) (xy -0.167438 -2.989282) (xy -0.175668 -2.982795) (xy -0.180183 -2.978138) - (xy -0.186979 -2.969889) (xy -0.192288 -2.959669) (xy -0.196294 -2.9448) (xy -0.199179 -2.922602) - (xy -0.201126 -2.890393) (xy -0.202319 -2.845496) (xy -0.202939 -2.785228) (xy -0.203171 -2.706911) - (xy -0.2032 -2.640994) (xy -0.203129 -2.548628) (xy -0.202792 -2.476117) (xy -0.202002 -2.420737) - (xy -0.200574 -2.379765) (xy -0.198321 -2.350478) (xy -0.195057 -2.330153) (xy -0.190596 -2.316066) - (xy -0.184752 -2.305495) (xy -0.179803 -2.298811) (xy -0.156406 -2.269067) (xy 0.133774 -2.269067) - (xy 0.230343 -2.26926)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy 1.018309 -2.269275) (xy 1.147288 -2.273636) (xy 1.256991 -2.286861) (xy 1.349226 -2.309741) - (xy 1.425802 -2.34307) (xy 1.488527 -2.387638) (xy 1.539212 -2.444236) (xy 1.579663 -2.513658) - (xy 1.580459 -2.515351) (xy 1.604601 -2.577483) (xy 1.613203 -2.632509) (xy 1.606231 -2.687887) - (xy 1.583654 -2.751073) (xy 1.579372 -2.760689) (xy 1.550172 -2.816966) (xy 1.517356 -2.860451) - (xy 1.475002 -2.897417) (xy 1.41719 -2.934135) (xy 1.413831 -2.936052) (xy 1.363504 -2.960227) - (xy 1.306621 -2.978282) (xy 1.239527 -2.990839) (xy 1.158565 -2.998522) (xy 1.060082 -3.001953) - (xy 1.025286 -3.002251) (xy 0.859594 -3.002845) (xy 0.836197 -2.9731) (xy 0.829257 -2.963319) - (xy 0.823842 -2.951897) (xy 0.819765 -2.936095) (xy 0.816837 -2.913175) (xy 0.814867 -2.880396) - (xy 0.814225 -2.856089) (xy 0.970844 -2.856089) (xy 1.064726 -2.856089) (xy 1.119664 -2.854483) - (xy 1.17606 -2.850255) (xy 1.222345 -2.844292) (xy 1.225139 -2.84379) (xy 1.307348 -2.821736) - (xy 1.371114 -2.7886) (xy 1.418452 -2.742847) (xy 1.451382 -2.682939) (xy 1.457108 -2.667061) - (xy 1.462721 -2.642333) (xy 1.460291 -2.617902) (xy 1.448467 -2.5854) (xy 1.44134 -2.569434) - (xy 1.418 -2.527006) (xy 1.38988 -2.49724) (xy 1.35894 -2.476511) (xy 1.296966 -2.449537) - (xy 1.217651 -2.429998) (xy 1.125253 -2.418746) (xy 1.058333 -2.41627) (xy 0.970844 -2.415822) - (xy 0.970844 -2.856089) (xy 0.814225 -2.856089) (xy 0.813668 -2.835021) (xy 0.81305 -2.774311) - (xy 0.812825 -2.695526) (xy 0.8128 -2.63392) (xy 0.8128 -2.324485) (xy 0.840509 -2.296776) - (xy 0.852806 -2.285544) (xy 0.866103 -2.277853) (xy 0.884672 -2.27304) (xy 0.912786 -2.270446) - (xy 0.954717 -2.26941) (xy 1.014737 -2.26927) (xy 1.018309 -2.269275)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy 3.744665 -2.271034) (xy 3.764255 -2.278035) (xy 3.76501 -2.278377) (xy 3.791613 -2.298678) - (xy 3.80627 -2.319561) (xy 3.809138 -2.329352) (xy 3.808996 -2.342361) (xy 3.804961 -2.360895) - (xy 3.796146 -2.387257) (xy 3.781669 -2.423752) (xy 3.760645 -2.472687) (xy 3.732188 -2.536365) - (xy 3.695415 -2.617093) (xy 3.675175 -2.661216) (xy 3.638625 -2.739985) (xy 3.604315 -2.812423) - (xy 3.573552 -2.87588) (xy 3.547648 -2.927708) (xy 3.52791 -2.965259) (xy 3.51565 -2.985884) - (xy 3.513224 -2.988733) (xy 3.482183 -3.001302) (xy 3.447121 -2.999619) (xy 3.419 -2.984332) - (xy 3.417854 -2.983089) (xy 3.406668 -2.966154) (xy 3.387904 -2.93317) (xy 3.363875 -2.88838) - (xy 3.336897 -2.836032) (xy 3.327201 -2.816742) (xy 3.254014 -2.67015) (xy 3.17424 -2.829393) - (xy 3.145767 -2.884415) (xy 3.11935 -2.932132) (xy 3.097148 -2.968893) (xy 3.081319 -2.991044) - (xy 3.075954 -2.995741) (xy 3.034257 -3.002102) (xy 2.999849 -2.988733) (xy 2.989728 -2.974446) - (xy 2.972214 -2.942692) (xy 2.948735 -2.896597) (xy 2.92072 -2.839285) (xy 2.889599 -2.77388) - (xy 2.856799 -2.703507) (xy 2.82375 -2.631291) (xy 2.791881 -2.560355) (xy 2.762619 -2.493825) - (xy 2.737395 -2.434826) (xy 2.717636 -2.386481) (xy 2.704772 -2.351915) (xy 2.700231 -2.334253) - (xy 2.700277 -2.333613) (xy 2.711326 -2.311388) (xy 2.73341 -2.288753) (xy 2.73471 -2.287768) - (xy 2.761853 -2.272425) (xy 2.786958 -2.272574) (xy 2.796368 -2.275466) (xy 2.807834 -2.281718) - (xy 2.82001 -2.294014) (xy 2.834357 -2.314908) (xy 2.852336 -2.346949) (xy 2.875407 -2.392688) - (xy 2.90503 -2.454677) (xy 2.931745 -2.511898) (xy 2.96248 -2.578226) (xy 2.990021 -2.637874) - (xy 3.012938 -2.687725) (xy 3.029798 -2.724664) (xy 3.039173 -2.745573) (xy 3.04054 -2.748845) - (xy 3.046689 -2.743497) (xy 3.060822 -2.721109) (xy 3.081057 -2.684946) (xy 3.105515 -2.638277) - (xy 3.115248 -2.619022) (xy 3.148217 -2.554004) (xy 3.173643 -2.506654) (xy 3.193612 -2.474219) - (xy 3.21021 -2.453946) (xy 3.225524 -2.443082) (xy 3.24164 -2.438875) (xy 3.252143 -2.4384) - (xy 3.27067 -2.440042) (xy 3.286904 -2.446831) (xy 3.303035 -2.461566) (xy 3.321251 -2.487044) - (xy 3.343739 -2.526061) (xy 3.372689 -2.581414) (xy 3.388662 -2.612903) (xy 3.41457 -2.663087) - (xy 3.437167 -2.704704) (xy 3.454458 -2.734242) (xy 3.46445 -2.748189) (xy 3.465809 -2.74877) - (xy 3.472261 -2.737793) (xy 3.486708 -2.70929) (xy 3.507703 -2.666244) (xy 3.533797 -2.611638) - (xy 3.563546 -2.548454) (xy 3.57818 -2.517071) (xy 3.61625 -2.436078) (xy 3.646905 -2.373756) - (xy 3.671737 -2.328071) (xy 3.692337 -2.296989) (xy 3.710298 -2.278478) (xy 3.72721 -2.270504) - (xy 3.744665 -2.271034)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy 4.188614 -2.275877) (xy 4.212327 -2.290647) (xy 4.238978 -2.312227) (xy 4.238978 -2.633773) - (xy 4.238893 -2.72783) (xy 4.238529 -2.801932) (xy 4.237724 -2.858704) (xy 4.236313 -2.900768) - (xy 4.234133 -2.930748) (xy 4.231021 -2.951267) (xy 4.226814 -2.964949) (xy 4.221348 -2.974416) - (xy 4.217472 -2.979082) (xy 4.186034 -2.999575) (xy 4.150233 -2.998739) (xy 4.118873 -2.981264) - (xy 4.092222 -2.959684) (xy 4.092222 -2.312227) (xy 4.118873 -2.290647) (xy 4.144594 -2.274949) - (xy 4.1656 -2.269067) (xy 4.188614 -2.275877)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy 4.963065 -2.269163) (xy 5.041772 -2.269542) (xy 5.102863 -2.270333) (xy 5.148817 -2.27167) - (xy 5.182114 -2.273683) (xy 5.205236 -2.276506) (xy 5.220662 -2.280269) (xy 5.230871 -2.285105) - (xy 5.235813 -2.288822) (xy 5.261457 -2.321358) (xy 5.264559 -2.355138) (xy 5.248711 -2.385826) - (xy 5.238348 -2.398089) (xy 5.227196 -2.40645) (xy 5.211035 -2.411657) (xy 5.185642 -2.414457) - (xy 5.146798 -2.415596) (xy 5.09028 -2.415821) (xy 5.07918 -2.415822) (xy 4.933244 -2.415822) - (xy 4.933244 -2.686756) (xy 4.933148 -2.772154) (xy 4.932711 -2.837864) (xy 4.931712 -2.886774) - (xy 4.929928 -2.921773) (xy 4.927137 -2.945749) (xy 4.923117 -2.961593) (xy 4.917645 -2.972191) - (xy 4.910666 -2.980267) (xy 4.877734 -3.000112) (xy 4.843354 -2.998548) (xy 4.812176 -2.975906) - (xy 4.809886 -2.9731) (xy 4.802429 -2.962492) (xy 4.796747 -2.950081) (xy 4.792601 -2.93285) - (xy 4.78975 -2.907784) (xy 4.787954 -2.871867) (xy 4.786972 -2.822083) (xy 4.786564 -2.755417) - (xy 4.786489 -2.679589) (xy 4.786489 -2.415822) (xy 4.647127 -2.415822) (xy 4.587322 -2.415418) - (xy 4.545918 -2.41384) (xy 4.518748 -2.410547) (xy 4.501646 -2.404992) (xy 4.490443 -2.396631) - (xy 4.489083 -2.395178) (xy 4.472725 -2.361939) (xy 4.474172 -2.324362) (xy 4.492978 -2.291645) - (xy 4.50025 -2.285298) (xy 4.509627 -2.280266) (xy 4.523609 -2.276396) (xy 4.544696 -2.273537) - (xy 4.575389 -2.271535) (xy 4.618189 -2.270239) (xy 4.675595 -2.269498) (xy 4.75011 -2.269158) - (xy 4.844233 -2.269068) (xy 4.86426 -2.269067) (xy 4.963065 -2.269163)) (layer B.SilkS) (width 0.01)) - (fp_poly (pts (xy 6.228823 -2.274533) (xy 6.260202 -2.296776) (xy 6.287911 -2.324485) (xy 6.287911 -2.63392) - (xy 6.287838 -2.725799) (xy 6.287495 -2.79784) (xy 6.286692 -2.85278) (xy 6.285241 -2.89336) - (xy 6.282952 -2.922317) (xy 6.279636 -2.942391) (xy 6.275105 -2.956321) (xy 6.269169 -2.966845) - (xy 6.264514 -2.9731) (xy 6.233783 -2.997673) (xy 6.198496 -3.000341) (xy 6.166245 -2.985271) - (xy 6.155588 -2.976374) (xy 6.148464 -2.964557) (xy 6.144167 -2.945526) (xy 6.141991 -2.914992) - (xy 6.141228 -2.868662) (xy 6.141155 -2.832871) (xy 6.141155 -2.698045) (xy 5.644444 -2.698045) - (xy 5.644444 -2.8207) (xy 5.643931 -2.876787) (xy 5.641876 -2.915333) (xy 5.637508 -2.941361) - (xy 5.630056 -2.959897) (xy 5.621047 -2.9731) (xy 5.590144 -2.997604) (xy 5.555196 -3.000506) - (xy 5.521738 -2.983089) (xy 5.512604 -2.973959) (xy 5.506152 -2.961855) (xy 5.501897 -2.943001) - (xy 5.499352 -2.91362) (xy 5.498029 -2.869937) (xy 5.497443 -2.808175) (xy 5.497375 -2.794) - (xy 5.496891 -2.677631) (xy 5.496641 -2.581727) (xy 5.496723 -2.504177) (xy 5.497231 -2.442869) - (xy 5.498262 -2.39569) (xy 5.499913 -2.36053) (xy 5.502279 -2.335276) (xy 5.505457 -2.317817) - (xy 5.509544 -2.306041) (xy 5.514634 -2.297835) (xy 5.520266 -2.291645) (xy 5.552128 -2.271844) - (xy 5.585357 -2.274533) (xy 5.616735 -2.296776) (xy 5.629433 -2.311126) (xy 5.637526 -2.326978) - (xy 5.642042 -2.349554) (xy 5.644006 -2.384078) (xy 5.644444 -2.435776) (xy 5.644444 -2.551289) - (xy 6.141155 -2.551289) (xy 6.141155 -2.432756) (xy 6.141662 -2.378148) (xy 6.143698 -2.341275) - (xy 6.148035 -2.317307) (xy 6.155447 -2.301415) (xy 6.163733 -2.291645) (xy 6.195594 -2.271844) - (xy 6.228823 -2.274533)) (layer B.SilkS) (width 0.01)) - ) - - (module digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm (layer F.Cu) (tedit 5A4D0CA0) (tstamp 6184F588) - (at 147.3835 115.3795) - (descr http://www.jst-mfg.com/product/pdf/eng/eXH.pdf) - (path /617F2061) - (fp_text reference B (at 2.8 -4) (layer F.SilkS) + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617aab79) + (at 135.001 110.2995) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617f1e57/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D1" (at 0 -1.17) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 297fd3c2-294a-4c02-bb99-37e78e815360) ) - (fp_text value SW_SPDT (at 1.48 4.41) (layer F.Fab) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7c54da80-8f7f-404e-a813-2708d1bce230) ) - (fp_line (start 7.6 3) (end 7.1 3) (layer F.SilkS) (width 0.1)) - (fp_line (start 7.6 3) (end 7.6 2.5) (layer F.SilkS) (width 0.1)) - (fp_line (start 7.6 -3) (end 7.6 -2.5) (layer F.SilkS) (width 0.1)) - (fp_line (start 7.6 -3) (end 7.1 -3) (layer F.SilkS) (width 0.1)) - (fp_line (start 7.7 -3.13) (end 7.7 3.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.45 -2.875) (end 7.45 2.875) (layer F.Fab) (width 0.1)) - (fp_line (start -2.45 2.875) (end 7.45 2.875) (layer F.Fab) (width 0.1)) - (fp_line (start 7.7 3.12) (end -2.7 3.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.7 -3.13) (end -2.7 3.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.7 -3.13) (end -2.7 -3.13) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.6 -3) (end -2.6 -2.5) (layer F.SilkS) (width 0.1)) - (fp_line (start -2.6 -3) (end -2.1 -3) (layer F.SilkS) (width 0.1)) - (fp_line (start -2.6 3) (end -2.6 2.5) (layer F.SilkS) (width 0.1)) - (fp_line (start -2.6 3) (end -2.1 3) (layer F.SilkS) (width 0.1)) - (fp_line (start -2.45 -2.875) (end 7.45 -2.875) (layer F.Fab) (width 0.1)) - (fp_line (start -2.45 -2.875) (end -2.45 2.875) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 2.4 -0.1) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp ce431658-9e4a-41e1-b175-9f1d8cba682d) ) - (pad 3 thru_hole circle (at 5 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) - (net 2 VCC)) - (pad 2 thru_hole circle (at 2.5 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) - (net 5 /B)) - (pad 1 thru_hole circle (at 0 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) - (net 1 GND)) - ) - - (module digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm (layer F.Cu) (tedit 5A4D0CA0) (tstamp 617E7CE1) - (at 135.549 115.3795) - (descr http://www.jst-mfg.com/product/pdf/eng/eXH.pdf) - (path /617F0571) - (fp_text reference A (at 2.8 -4) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value SW_SPDT (at 1.48 4.41) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 7.6 3) (end 7.1 3) (layer F.SilkS) (width 0.1)) - (fp_line (start 7.6 3) (end 7.6 2.5) (layer F.SilkS) (width 0.1)) - (fp_line (start 7.6 -3) (end 7.6 -2.5) (layer F.SilkS) (width 0.1)) - (fp_line (start 7.6 -3) (end 7.1 -3) (layer F.SilkS) (width 0.1)) - (fp_line (start 7.7 -3.13) (end 7.7 3.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.45 -2.875) (end 7.45 2.875) (layer F.Fab) (width 0.1)) - (fp_line (start -2.45 2.875) (end 7.45 2.875) (layer F.Fab) (width 0.1)) - (fp_line (start 7.7 3.12) (end -2.7 3.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.7 -3.13) (end -2.7 3.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.7 -3.13) (end -2.7 -3.13) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.6 -3) (end -2.6 -2.5) (layer F.SilkS) (width 0.1)) - (fp_line (start -2.6 -3) (end -2.1 -3) (layer F.SilkS) (width 0.1)) - (fp_line (start -2.6 3) (end -2.6 2.5) (layer F.SilkS) (width 0.1)) - (fp_line (start -2.6 3) (end -2.1 3) (layer F.SilkS) (width 0.1)) - (fp_line (start -2.45 -2.875) (end 7.45 -2.875) (layer F.Fab) (width 0.1)) - (fp_line (start -2.45 -2.875) (end -2.45 2.875) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 2.4 -0.1) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad 3 thru_hole circle (at 5 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) - (net 2 VCC)) - (pad 2 thru_hole circle (at 2.5 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) - (net 3 /A)) - (pad 1 thru_hole circle (at 0 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) - (net 1 GND)) - ) - - (module Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Horizontal (layer F.Cu) (tedit 5A19A424) (tstamp 61865DD2) - (at 156.591 102.6795 180) - (descr "Through hole angled socket strip, 1x04, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated") - (tags "Through hole angled socket strip THT 1x04 2.54mm single row") - (path /617F873D) - (fp_text reference J2 (at -4.38 -2.77) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value Conn_01x04_Female (at -4.38 10.39) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -10.03 -1.27) (end -2.49 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start -2.49 -1.27) (end -1.52 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1.52 -0.3) (end -1.52 8.89) (layer F.Fab) (width 0.1)) - (fp_line (start -1.52 8.89) (end -10.03 8.89) (layer F.Fab) (width 0.1)) - (fp_line (start -10.03 8.89) (end -10.03 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0 -0.3) (end -1.52 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1.52 0.3) (end 0 0.3) (layer F.Fab) (width 0.1)) - (fp_line (start 0 0.3) (end 0 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start 0 2.24) (end -1.52 2.24) (layer F.Fab) (width 0.1)) - (fp_line (start -1.52 2.84) (end 0 2.84) (layer F.Fab) (width 0.1)) - (fp_line (start 0 2.84) (end 0 2.24) (layer F.Fab) (width 0.1)) - (fp_line (start 0 4.78) (end -1.52 4.78) (layer F.Fab) (width 0.1)) - (fp_line (start -1.52 5.38) (end 0 5.38) (layer F.Fab) (width 0.1)) - (fp_line (start 0 5.38) (end 0 4.78) (layer F.Fab) (width 0.1)) - (fp_line (start 0 7.32) (end -1.52 7.32) (layer F.Fab) (width 0.1)) - (fp_line (start -1.52 7.92) (end 0 7.92) (layer F.Fab) (width 0.1)) - (fp_line (start 0 7.92) (end 0 7.32) (layer F.Fab) (width 0.1)) - (fp_line (start -10.09 -1.21) (end -1.46 -1.21) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -1.091905) (end -1.46 -1.091905) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -0.97381) (end -1.46 -0.97381) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -0.855715) (end -1.46 -0.855715) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -0.73762) (end -1.46 -0.73762) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -0.619525) (end -1.46 -0.619525) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -0.50143) (end -1.46 -0.50143) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -0.383335) (end -1.46 -0.383335) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -0.26524) (end -1.46 -0.26524) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -0.147145) (end -1.46 -0.147145) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -0.02905) (end -1.46 -0.02905) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 0.089045) (end -1.46 0.089045) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 0.20714) (end -1.46 0.20714) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 0.325235) (end -1.46 0.325235) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 0.44333) (end -1.46 0.44333) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 0.561425) (end -1.46 0.561425) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 0.67952) (end -1.46 0.67952) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 0.797615) (end -1.46 0.797615) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 0.91571) (end -1.46 0.91571) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 1.033805) (end -1.46 1.033805) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 1.1519) (end -1.46 1.1519) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.46 -0.36) (end -1.11 -0.36) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.46 0.36) (end -1.11 0.36) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.46 2.18) (end -1.05 2.18) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.46 2.9) (end -1.05 2.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.46 4.72) (end -1.05 4.72) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.46 5.44) (end -1.05 5.44) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.46 7.26) (end -1.05 7.26) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.46 7.98) (end -1.05 7.98) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 1.27) (end -1.46 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 3.81) (end -1.46 3.81) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 6.35) (end -1.46 6.35) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -1.33) (end -1.46 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.46 -1.33) (end -1.46 8.95) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 8.95) (end -1.46 8.95) (layer F.SilkS) (width 0.12)) - (fp_line (start -10.09 -1.33) (end -10.09 8.95) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.11 -1.33) (end 1.11 0) (layer F.SilkS) (width 0.12)) - (fp_line (start 0 -1.33) (end 1.11 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.75 -1.75) (end -10.55 -1.75) (layer F.CrtYd) (width 0.05)) - (fp_line (start -10.55 -1.75) (end -10.55 9.45) (layer F.CrtYd) (width 0.05)) - (fp_line (start -10.55 9.45) (end 1.75 9.45) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.75 9.45) (end 1.75 -1.75) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at -5.775 3.81 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 25 CK)) - (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 46 /Cout)) - (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 1 GND)) - (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 2 VCC)) - (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x04_P2.54mm_Horizontal.wrl - (at (xyz 0 0 0)) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 414faad0-ab64-4258-bea1-b3a07d8e2dd9)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 12df054d-bfa2-44b0-a448-47d5ec100ce2)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 4eb00f6e-fbc8-481b-8e20-da88038f0726)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 78128c8f-15b1-4754-af61-a9e6886bb38b)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 980641d3-0a5a-435d-9785-644204ae075a)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 2ac12df0-2f0c-4ed1-9298-670cb5d29f6c)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 4387875f-0b27-4c37-b0d0-9c748358061d)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 52062fb2-10f6-44df-afd1-9d61bcad5cd5)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 548054a0-e94c-4c45-af04-2019fe175741)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 6c6069ad-56f7-40f5-9904-29c99d3e545a)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 79655bbc-4488-4ad8-9c15-b0be4fffaf34)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A") (tstamp 6f6485cb-dd3a-4115-b3c6-39ff0a847915)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 6 "Net-(D1-Pad2)") (tstamp dff49cdf-37f9-4c5d-9462-73172a58f486)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module adder:AlignmentHole_3.2mm_M3 (layer F.Cu) (tedit 6184EC98) (tstamp 617EF294) + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617aab8b) + (at 135.001 105.4735) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617f496c/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D2" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e0a8c805-dcb9-4f59-ad23-ba3b57523097) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6385331f-1d7e-4c20-b371-7d381080521c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp f4aef46f-60df-4b51-876e-04a8c9942b4b) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 3fbffaf4-e132-4e53-aeda-a9f76d4e4e92)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1e63cdd1-a60b-46d7-a799-c705d7d809b7)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 23ca62d1-400e-4b5e-b770-4e088bea1564)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp aefa7b94-c234-44c3-b55e-3c34dcef2506)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d7c25506-dc54-4a75-9f67-27a677285ccc)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 02cf3f1b-0c10-4182-8848-9e7257cc96c0)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 201bddd9-f00f-414b-b421-b57d3fc2fbf4)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 42aaf404-0319-4db7-94be-7011b5f74114)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp ac3464d2-0dd0-416a-9887-587a47e7a596)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp dd64db77-d6a5-4bc8-98a2-2c466d634b4d)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp fc11c899-a944-4fba-8b0c-210ec200ec41)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/B") (tstamp 2e51607a-31e9-4f5d-963f-18fa2091117f)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "Net-(D2-Pad2)") (tstamp 9d459a8c-fa54-4208-91e1-b656c34e8019)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617aab9d) + (at 135.001 100.6475) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617f49c9/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D3" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 39aa9ce3-fa9d-45f0-86e4-88308e5fa5f5) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d2be20ec-3036-486a-b224-29e4a2b00f95) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp a53426d0-247d-4e71-9857-3b72847787e8) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 2bfca627-103a-4131-82a3-8abed4eed586)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 3eb4f920-4449-4083-9bfd-15eab0a30f05)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 445c0ce6-df32-4a07-accd-a95cb8a2f3a7)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8bc05a4b-d126-465c-a58b-4ece91d67a23)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 9ef66ac6-1dab-4498-a152-337c4ef728d8)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 1139e22a-abf1-45d8-b44e-4018ce039c1e)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 3ddd6217-2fae-40c5-a871-57de8998a427)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 40eb1b2f-4e06-49a9-af7d-0ab5cd26912c)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 51389454-d52c-4912-9cd3-1cd6f4a17e38)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 55d7c678-54cb-4239-ae1a-c27d0fa28ed9)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 8d5c43e2-31a7-4ff7-91af-96e440853ac4)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A") (tstamp 865cc433-83c7-465e-88d3-0645ef5bbde3)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "Net-(D3-Pad2)") (tstamp b4399657-86c3-48f3-b7ec-b676f9c9a7c8)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617aabc1) + (at 140.104 100.6475) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617fa71e/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D5" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a95edc26-ea99-409c-90f5-165fccc4e54d) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 02366319-b61f-409e-9c1a-549546f62e2e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 819cb118-e625-42a9-a2c5-f5dc10322c70) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 5fc29d37-b635-445c-936a-f91b03801c5f)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 15881a86-d341-45df-b88f-86e7f0633b7b)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 3d30a1bb-f588-4d02-97ef-3c1e1065559e)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 4e1d7d28-6bfc-4989-9338-77fda83135fe)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 9dfd3e31-3f6b-45c9-ba93-7a8d93531eb9)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 54a65b3e-0002-4b31-9233-bce3d28107c7)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 59d512d8-4b4b-40b0-9100-f53614ac45c3)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp ab06867f-e888-48ce-9935-28775ffc7830)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp b480f7e5-0b90-4667-b9f1-7ad59316cfda)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp edd0b281-6cd3-4dd8-8b89-d872060bc745)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp f2f64d58-2be3-4b0d-a4f7-e9bc51af5223)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/B") (tstamp 12110712-6d84-425b-99b8-9b50cc5fe226)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "Net-(D5-Pad2)") (tstamp b30839a8-ef25-440b-a2e3-5f7366374768)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617aac53) + (at 136.779 100.1395 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617f49c9/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R3" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9dd316b1-5392-4904-a4ef-a3503a7db453) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9532cd6c-3838-4bc4-9398-df2f767a2e2e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp d24b9b8a-9787-41dd-b21c-27960865db95) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 06e5d0cb-0d39-4ff4-96f7-10a882fc1c6a)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 6adbafae-0b24-4302-ae2c-ed88126147af)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 420bc7d8-f64e-47b6-894c-894900d31b2f)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 4ca664d8-f6a1-4158-81d8-14a7f93ff5db)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d10f2065-a92d-414e-a197-ed3c944a531f)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp d60e2c82-0214-445f-a5cd-f261d4eeb71d)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 2fff2f43-74fa-4b74-8621-fe320fd9f696)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 5a79450c-22ac-449d-99fe-0818287b7b1c)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 7234527b-89da-4578-9ee6-a363eb1eccf7)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 84a49e09-f571-4a55-bbb0-375947b365df)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "Net-(D3-Pad2)") (tstamp bc2cb18a-0ec2-4e4a-838b-db8ef5d36b14)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 5aa9c738-3645-41fa-bcc8-f135330e850a)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617aac64) + (at 141.859 104.9655 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617fa69e/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R4" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp aa5c25d1-f651-4361-a53d-94db14bcd0ee) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0f3ab700-4da4-440d-9c67-e23a2da20dfe) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 0c43fd2d-7abf-4ef9-89f8-a38740ad452f) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 99f4b1b3-e181-489c-8a0e-5f2bebece3da)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp cc7057cf-839c-4aa0-b6a1-7efd63ecdcb9)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 5a6d7c23-afd7-4d94-8c62-b67803a0d2a0)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 5eaef5ac-3b19-48d7-8f11-3e0887bc1ba3)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 6f4593c6-7afb-4f3b-a45a-bacd91b57a4a)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 70a49a84-a47d-4df3-a768-ed6b8bd78eb5)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 0cb423fa-d51e-478e-a79d-102e9b74cbc7)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp bbdece10-a2b6-4e47-a7ce-b1dd53225476)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp e4a86458-5871-4dd8-a847-0104f997893f)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp fb2f2c44-1908-40aa-9e25-493d46de5107)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "Net-(D4-Pad2)") (tstamp b3d477fb-9ef4-455a-be38-feebf0a0f972)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "/Sheet617F77F7/out") (tstamp 66286292-1dd1-40ed-8c8d-c304e74f0506)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617aac75) + (at 141.859 100.1395 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617fa71e/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R5" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 70cc27d2-ccf8-4ece-8f11-94e6c2030b3f) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 508c5a8e-de3a-4bae-a1ab-9f2fb6224413) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 91c01c16-ca8f-444b-95f3-ec6e728efd3f) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 98b2fd16-0c37-448c-9828-30ef2d048661)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp ac9f22bb-809e-4fb6-a531-00719d7de2a2)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1db9fc81-3a96-4bcd-b28c-d24c9e241b58)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 35dec4a9-50f9-4a34-9751-47cc68c28970)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp dfe400a6-3a50-482c-9908-89387c8b56c9)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp f6f76c10-17c9-4cff-82f5-44f03e726c0e)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 7c4e9fe6-d4dd-436e-95d5-76fc0a5f21f2)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 97c2eef4-804f-4b9f-9c29-e2e897174d04)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp bda49fd7-6ba8-4d86-ac9f-6889342c56bc)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp e0c0e7af-e1bb-49df-8447-bb17981031b6)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "Net-(D5-Pad2)") (tstamp 564a2611-5596-4c7b-9145-80ed185cf9ac)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 20 "/Sheet617F9D74/out") (tstamp 47c4ba68-dbf6-4f31-9445-53bcf7423ac1)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ab4ec) + (at 136.779 109.7915 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617f1e57/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R1" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c05dab88-0b55-4127-b1f9-94db6ff9f8b0) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cbbc4da0-77df-445c-9ddb-0baf68b35d08) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp a862f46e-08ea-4a58-abe5-ad9c51a5d1bc) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 4932b447-04f3-4920-89d9-c1b87d786d57)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp ac542763-17dc-45d6-988c-20536a0d1cce)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 546e5ab3-bffa-4652-86c9-111054fba13b)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 7396461c-af70-4af4-b576-7693627f19b0)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 7cd7ec53-881c-4102-978a-1cdb835ce3e0)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp c7d51b8d-6629-4809-a25a-2404452b8616)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 0c17d27e-744a-4f73-b886-c770c9f9e853)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 13b58fa0-2f77-4fcf-8f62-70fc95141788)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 7bc11db1-8c2e-4e1a-aebb-c2d3a13a2c29)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp be3de202-76f0-40c9-8754-4ae0447012f5)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 6 "Net-(D1-Pad2)") (tstamp 9f0e678d-a42c-4152-a705-5328c579981f)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 2d45fd9e-2f74-4d98-b1db-eaa3eb3d2b98)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e72d4) + (at 147.447 103.6955 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617fd278/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q6" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0dab0df6-8ac4-4881-90b4-519665a5da26) + ) + (fp_text value "N" (at 0.508 -1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bef84fcf-3c77-43f1-b4e5-6b8cb1130745) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 8b954584-cbd9-408b-9220-e61069a5f729) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 5e81d54e-c84b-4f58-81b3-5b0aa38e04e7)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp a153c238-199b-42b9-9b29-7425ad9641a0)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 648709f5-c7b9-4514-b4a8-5d1c8ebd3ea1)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 667e2a72-f2e6-4a6b-8a94-2e5ef263e9ca)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 68aba37b-42af-4fa3-92e9-01d26cf6b032)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 7818ac9e-a80a-45d7-a24c-6bb43b62ce6f)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 4e94d634-af16-45b2-bf86-b49a5a7534b8)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 976db83a-1e42-4d5f-a03f-cdd31485432d)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp c0c4548f-cf60-476a-944e-2bc06a76ddf1)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp c28541f0-d25d-4b5b-b8da-65f7b2e5fd1f)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp cf751258-309b-450a-afe3-f2b6b3b8f166)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "/Cin") (tstamp 0a25c82c-22ff-4285-8c8b-fe8f944d5722)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "/Sheet617FA69D/drain") (tstamp 988666b2-c4a4-4cc5-8582-4019749c67d7)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 21 "/sheet618026B1/out") (tstamp a2e71206-7da6-4d82-b794-6cd2570231f7)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e74a4) + (at 147.47 100.6475) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-000061801128/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D7" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 241234c3-4b0e-4932-8d01-e937d967e07d) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5636be08-7853-4ef6-bb7d-c9a23107a59f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 9dfccb0d-3b7e-4b37-a65f-2b4c631a0a4a) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 707094dc-6e50-4ef6-ab62-a39afce5fad4)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1b17e2c1-47cb-4cce-9b07-c14993c4ce21)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1c0f8bd3-a042-4263-a771-7b036847792b)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8a0ce271-914c-499f-953b-a82f6d14aae1)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8f51e1a7-1bc0-4511-93f7-7a2b2ac3b045)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 3a62ee77-c585-4fc4-af21-02051a4e2a8e)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 752b45d6-a825-43b1-bff7-1d2dfcb675e0)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp a43dec6d-fbcd-4aad-8d13-13da99067688)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp c4752832-6fe3-4df8-bfef-36de319d132f)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp e7822b48-cdfe-4475-b22a-6686476c896a)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp f5708482-32a8-4ccf-9553-24cc8201fcd0)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "Net-(D7-Pad1)") (tstamp f766b66d-c96b-42c9-bedc-1332d2c74a7a)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/B") (tstamp 8f31a808-79e4-4370-9764-0cc26ef17d29)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e74f3) + (at 147.447 98.8695 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-000061801128/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q7" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8da9440f-e67e-4605-b85d-5c6fc0ccc54e) + ) + (fp_text value "N" (at 0.508 -1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bb2e8ddb-2f07-400f-a67e-bc05058e7a9d) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp daaeffa1-cb93-4653-b34f-d8d297f34de6) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 06adfff1-bc64-4298-a435-26df41383c2a)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 63b72383-41b8-4b9a-9944-2b8cd17005ea)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 2bdc0d1a-9824-4b08-a413-4a980768469f)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 46c78d32-2eb5-4449-836c-719c992bed4f)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp ef4874d5-f476-4d67-b96c-9f39cf7a6dcd)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp f0879376-6b59-428c-a9f3-d0b62fc05425)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 08f6bf13-7db9-40a7-86f1-2e9437d865ba)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 0dfab4dc-0c51-41c5-8596-c8b938fcfa67)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 2e10edd7-317f-45f6-8d7d-7dfc6e01f650)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 443fb9d5-b745-403d-a823-07a18e1ece7f)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp ba7c1c4c-e88a-4ffe-9d11-5cce1914cdd5)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/B") (tstamp b7a97ff0-5f42-4fb2-b5bd-80625186c969)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "/Sheet617FA69D/drain") (tstamp 9a5858dd-928c-4fc2-9d5f-a84ff9ee7cd9)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 22 "/sheet61802BA6/out") (tstamp 09e4009f-78cb-4f53-b821-bcf867f97a39)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e750d) + (at 152.527 105.4735) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-000061801b8b/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D9" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 932e106b-21fb-4f39-a1cb-54a8f0466b05) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fa74e9ba-3676-4b83-9221-ee1d7f12eb2f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 2ef70fc0-e69e-4c56-8c6f-878257ca1e63) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 9a1f8b93-5ad3-4fef-953f-51fe1177d964)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 81be76b8-d571-4cd7-9357-c8571c257675)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp c677b135-0a8f-476d-babc-e38083d3976f)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp c6b7c907-1db3-4087-9824-3520265e13f0)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp dcfd9aa4-b496-4a9b-9e0d-645da986b62a)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 38a4d55a-4b69-43ec-8ec5-c38d5bf312a2)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 4252e54c-b770-4681-82d9-e0ccb0aaa55b)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 7801b11d-4548-453f-9d8c-18460ae4cd53)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 8ee226d4-2628-4a16-8445-7fbef6a81eab)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 932f9e2f-da58-46ce-80e2-167eb25fcf80)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp c4636c43-3487-452a-9276-9e4122cd3e56)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "Net-(D9-Pad1)") (tstamp 4ff50bc2-490a-45a1-97c5-b38d084b32fa)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/B") (tstamp 0b87f619-e7fb-464e-b888-2f0b4c65e2b6)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e7541) + (at 152.527 98.8695 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000618020cc/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q10" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8bcda3d8-ab03-4d0e-a459-78a5b6244fcc) + ) + (fp_text value "N" (at 0.508 -1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 567259ed-c0f6-4539-9dc0-bb79a5782301) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp f8ff405e-abea-418c-b418-019eb7279607) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp a68faff5-fdf7-49fe-80ea-cbddc3f56bff)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp bfa069b6-9a26-46b9-8029-ac37eff8d5f5)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 377ad6d3-5181-4cc8-8f95-273eb63eaab0)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 6ca68570-1b8d-42ea-a8ff-092acd2486e3)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp a4c4461e-c59f-40ca-a777-6f3e39aa3124)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp bfadf1d3-e84e-4f38-921e-c1283d5bfab9)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp c1864790-cf5b-43d5-a41e-08cafb7bbd50)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp cf8384e4-8202-43c4-af2f-534775cd34dd)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp e40fa1c2-9f22-4d8a-aee8-ef7708547fc9)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp e8dc7873-9681-4b60-a125-319c606044a4)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp fd58f72c-d94f-4d1a-bf3c-d7697c66ece0)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "/A") (tstamp bb5bc723-b4f7-4fbb-a678-7070eb795e2f)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 24 "/sheet618020C7/drain") (tstamp cec4e2e7-038a-422b-92b9-489a0b6cc449)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (tstamp a4a3432c-5932-43b8-961c-87e0b2c1e1db)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617e7575) + (at 154.305 100.1395 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000618020cc/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R10" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cafa4f33-7701-49c0-8046-e4eee01bb2e7) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b6fe4f93-366f-4019-b3e6-d05ca58b02ef) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp bb35ac9d-acfc-46e7-bef9-b1829cfa219a) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 5fe0f51c-06fd-4271-bccc-42b15f7fdea8)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 63b56628-0aaa-4961-bfb0-90f6ac6ff597)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 0dabdb96-b328-4112-ab6c-a03f32bb59be)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 271a1008-98e5-4b84-88c8-282359ede31c)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 2ddae2bb-fa7b-4063-949f-af2aea967666)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp e576dabe-f935-47e0-a829-ebc0c4070233)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 1436ca08-78c8-4a8b-87b3-74fac5e241e0)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 6454c3a6-ad93-41ed-9707-2a240efc8b0b)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 963b5352-ddd1-452f-b348-715f82246391)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp fd245333-ead0-4064-8e4c-4fa904b0ceb2)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "/sheet618020C7/drain") (tstamp 150a10bc-b118-4c38-a5d1-9be4f9acd0c5)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "Net-(D10-Pad1)") (tstamp 9ce545ce-83f3-4d89-8940-c8e35d220494)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617e75a5) + (at 154.305 104.9655 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-000061801b8b/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R9" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bd46e6a5-6bb4-4455-a2e4-3345e0b1eb3f) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 723259f6-3ad4-4fca-9994-5e0c6bce7ac9) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 1832ac54-9b05-4307-9bc2-f0b1973e537b) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 3582e22d-a203-4c33-8f2d-51c7fbd0f549)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp ba027636-b089-45f0-8d3d-01eeddd28984)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 038c14b3-6387-4978-a282-1fb32d64dbe2)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 4ddc94e5-342d-47ba-a16d-7d2e5119dbdd)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8ad7b86d-359f-4011-9796-14cc9f260ee4)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp fe89a2f1-41e4-4f4b-a0e8-ece645798865)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 338c646b-5911-4ec5-968f-faf34a7fa00b)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 7fa62970-6452-4dc4-bc63-448c6465777b)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp af5064be-8a6f-4c6d-988a-e4fb84bdeeac)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp c4c9fb5b-ef06-4d58-9cc5-94b1ebda4c07)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "/sheet61801675/drain") (tstamp da3c311c-54ac-4194-8a7a-3027bc53c2a5)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "Net-(D9-Pad1)") (tstamp 7caf4408-eb07-4d1b-b36c-af96dfa73cd9)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e75d6) + (at 152.504 100.6475) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000618020cc/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D10" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3bcc0f77-c70f-42c0-a71c-d258b6e0d2ca) + ) + (fp_text value "L" (at 0.023 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e39ab4a5-63d4-4e52-9872-dd1bf8cf4794) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp d6a9b39e-da60-408f-876c-df787134b0fc) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 2690c617-70ca-41ab-b1cb-2fe805b07d1c)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 5248321a-7564-4a83-999e-907a222d2380)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 886bfd19-749a-4776-ab8b-583031176bd7)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a75e69d0-8f8d-41fd-93b6-909bf908d21c)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp fcb5f074-ef47-4f4c-ad5e-a6224bb789d1)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 4fe2b1ef-7619-448c-b9ad-39f3540a7a97)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 5f4c8ada-6dc5-48a6-9695-b7844242f5e6)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp b74b8c6f-d690-4141-a627-6fac6f41b836)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp d775477c-918b-4036-9573-928ea8ff8489)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp ddf37fa9-983e-4389-ab83-bf71f5321257)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp eaebb670-935b-4653-a3a2-4e49a6b9d1ec)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "Net-(D10-Pad1)") (tstamp c8f8a185-3d5f-4463-bc77-9841b2c65d22)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A") (tstamp 70e21c9f-c993-4347-80d2-0f128df2d261)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e767b) + (at 152.55 110.2995) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-00006180167a/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D8" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cd3d5051-ccbf-446f-a9f8-98d20d38371e) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 73a32185-06d5-47d6-b7cd-013643a1d3df) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp feb3e8ae-4760-4152-86da-43c41c3e0837) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp ff214826-587f-4685-923b-f09c9f0d2713)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 0e29c01e-9605-4112-8439-0bb816430920)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 727a755f-01f9-4818-8c1a-96a835f6c41f)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d6c5ad64-b0b3-48e4-8f7a-ae4b2d7eca9d)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp da1dcf9a-8fb7-4522-9fd6-f3c9012f5353)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 152c3730-8e33-44de-8ecb-4e622350181b)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 310f6f6f-288b-43b9-aef4-7180845ea405)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 5f42cdb1-16bd-4476-be8f-4f78720f4381)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 82417583-1d55-4c57-8104-a531887c8e38)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 933a3519-75c4-41c6-8290-f66eb3d04f5e)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp bb9afdd3-4643-40d8-9413-13a02346c555)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "Net-(D8-Pad1)") (tstamp 9402028a-3302-4b62-ba0b-3a6d422387fb)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A") (tstamp 7d48e39c-113c-44e9-a39e-2524a9e09b6a)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e76af) + (at 152.527 103.6955 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-000061801b8b/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q9" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7bbbf8ff-7ea0-477e-be05-365f3a7d95b6) + ) + (fp_text value "N" (at 0.508 -1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9067818a-bc58-466b-a9bf-45d5965c64f8) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 2b0fcf45-6710-48ca-904f-a01d770ca544) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 74b3e9b6-e625-4bea-8708-cbc5da8e2497)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 846fbe7f-307c-41b7-a43a-53f8d6941ba3)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 1674a959-f93f-48ed-be25-b001ce2e3825)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 68ed32c5-ed23-4672-a91e-a72ad3685187)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 83223e98-0a4e-4142-bffb-33f4685770e7)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp b1cdc427-a734-4720-adce-a66c81a8b3e2)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 254bedc2-c162-4e42-a013-06e3ba95b7f5)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 6cda366b-50d0-4095-9dda-c1e6ba1f71dd)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 8ce923ed-11eb-4a6c-85f6-11a142ee4dc9)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp b38fc2b2-efa0-492e-beae-12096ec85035)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp c764d1ed-dccc-4547-9897-5b2ffd2510bf)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/B") (tstamp af99f8b2-2385-4d79-86d0-a7eb77ccc346)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 23 "/sheet61801675/drain") (tstamp f1da6970-8576-4289-b3b3-d7c2d8339757)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (tstamp f27e71c3-34c1-48e8-82bf-d5a998ae82cc)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e76e5) + (at 152.599 108.5215 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-00006180167a/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q8" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 12e1edbb-8df6-488f-aff1-311fe63ef081) + ) + (fp_text value "N" (at 0.569 -1.85 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2adc8158-4dba-42d8-9c7c-c3597f7c4d11) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 28f7bcc2-b929-420d-90a8-a48253854d1f) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 91d78719-6bdd-4e1a-bc71-23ddd4b09258)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp ac45c255-4105-4c59-ab21-155753cd6ff3)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 09ac1cc4-f1a4-41a6-a0c0-b39b43c1d237)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 6a01d972-4274-4dc9-89c5-c903d1f80daf)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp aee53d67-2404-4ff5-8f5a-4d8d8e1df3a2)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp f787529c-dac5-4800-b9c4-d253c195cdb6)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 36aceb86-8c6a-40bf-bcf0-bddc5372711a)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp b9830b96-c0ec-4320-9947-a3838206c886)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp bb20c84f-c79e-4e2d-9217-1f6c346673c2)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp d40a0192-fb49-4cf8-9e19-e77375718332)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp fef8039e-73f0-4566-9605-400e28435bee)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "/A") (tstamp 1d53bbc2-788c-4700-b028-535a6bd527e4)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 23 "/sheet61801675/drain") (tstamp 834bc237-1f9b-4fc5-8f44-0e34747e2b59)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (tstamp 69bd5dc3-36c0-4798-b368-619aaaab3895)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617e7719) + (at 154.305 109.7915 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006180167a/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R8" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2548216b-7504-4ed5-aa83-9715e5d0d682) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6ae87c77-5a16-4222-9a0e-b9a0a4547e53) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 35c28614-493d-42c8-9dda-294024dc877a) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 76673b73-8cb0-41af-8b9c-484f8d9e2ca9)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp ee054581-0bc3-45b5-8444-e5529877b4b7)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1344ca58-6c88-4b97-a4c0-8724ed397e76)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 51423734-ece4-409d-96d1-e2b591df2cac)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 66b0cd94-39fe-4d65-89d4-267256654624)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 90f59be9-a686-48b2-8d6f-53780651fadd)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 13a31a30-676b-4f0b-a1b2-1e95a7e53c74)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 3a088151-b541-42f3-8e85-4a01b720ff3f)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 7faa1a8a-72be-462b-9355-d403c479a579)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp ee7af89e-5905-442f-9353-b055544e6839)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "/sheet61801675/drain") (tstamp ea7fa78e-3cbf-4f39-aac1-4599cf199dd5)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "Net-(D8-Pad1)") (tstamp 6e6a94fa-577a-488a-bfaf-6eb85a363728)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617e7779) + (at 149.225 104.9655 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617fd278/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R6" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2a7f2d22-2e08-42e9-820e-95a2ab1353c4) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1803943e-4e7c-4908-9fe3-a20ce238d4e7) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp b240b51f-ed16-4cc6-8cc3-870552712456) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 12f523ba-8e0a-4789-be67-0acd3f407c6f)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 95ff1741-d0bb-4605-b538-6911f9032754)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 0446e63a-d812-4b12-b6c3-7cb374d08cdb)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 2326bdf4-fa27-4352-a5ed-9c4d9012b2bc)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 6b6b8c39-9a9f-42a6-b1bc-1fd59f7a6952)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp bd10952b-cff1-494b-b579-c0f346d07cd7)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 485f061c-a641-4684-a8c6-321d1821f888)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 50840ba7-6e80-459d-8d10-b5bcc4ddb6d0)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 52f1c2f6-0ac4-437f-9a51-a5a73fbd4091)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 9c39fe1c-0e94-492d-90c2-ed0ad93d61ae)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "/Sheet617FA69D/drain") (tstamp dbe2ff47-0de2-44cc-9340-8d8d3d92b1d8)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "Net-(D6-Pad1)") (tstamp 8eeed197-df42-4d59-aff0-2e8b62f24c4f)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e77aa) + (at 147.447 105.4735) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617fd278/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D6" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bbda833f-baf1-4ffa-a801-cfd85574ffa9) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0026c821-1c60-485f-ab3d-75a7396bcfe1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 4648eb1a-102b-4cf2-8883-67748a67a9f7) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 4cf2fdcc-ae5d-44fa-b4e9-ea2a25d2b2bd)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a0e49692-e962-432a-a9e1-f5513633142b)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp ac93e7bd-de25-4d0f-84e2-ef9b9aa126ae)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp c9330036-74d3-44b5-984f-49a96b8bd1b4)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp df2956ee-97a5-4f02-a20d-a3ee04de9434)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 11dfbc20-d33c-4829-81cb-f6a0496f3813)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 1f5c958a-d29e-4743-b9be-c5ffad6f600e)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 2222b41e-287c-40ab-85c6-f597d62ea657)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 91d15ca5-c84d-4955-bc07-af8e95aa7e02)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp acf9099b-d9d2-4569-8ea8-661d815bb53d)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp fdc44d16-2470-4a2c-9bec-11cbcdaa71c0)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "Net-(D6-Pad1)") (tstamp 648caa24-4a88-4c25-94c0-a8072f7e929b)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/Cin") (tstamp f8e2061c-27eb-4d58-b69b-dfbf741a6010)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm" (layer "F.Cu") + (tedit 5A4D0CA0) (tstamp 00000000-0000-0000-0000-0000617e7ce1) + (at 135.549 115.3795) + (descr "http://www.jst-mfg.com/product/pdf/eng/eXH.pdf") + (path "/00000000-0000-0000-0000-0000617f0571") + (attr through_hole) + (fp_text reference "A1" (at 2.8 -4.0005) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4b5c422c-87a7-487f-9843-563c3d95d622) + ) + (fp_text value "A" (at 2.373 -4.0005) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a3c8e0ea-9a9e-4193-b3eb-3aafbb75e18a) + ) + (fp_text user "${REFERENCE}" (at 2.4 -0.1) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a137c11a-5285-4e17-aee8-a20db601633c) + ) + (fp_line (start -2.6 3) (end -2.1 3) (layer "F.SilkS") (width 0.1) (tstamp 06687990-b2ac-42a9-b58d-5cb90c04e8bf)) + (fp_line (start -2.6 -3) (end -2.6 -2.5) (layer "F.SilkS") (width 0.1) (tstamp 17b2ad3a-3977-440e-88c8-80510f047d8d)) + (fp_line (start -2.6 -3) (end -2.1 -3) (layer "F.SilkS") (width 0.1) (tstamp 68d7879f-83a2-4f07-af79-ff59d0dc7439)) + (fp_line (start 7.6 3) (end 7.1 3) (layer "F.SilkS") (width 0.1) (tstamp a1989913-bb3a-4b95-92ed-9cd6fbcf572c)) + (fp_line (start 7.6 3) (end 7.6 2.5) (layer "F.SilkS") (width 0.1) (tstamp ac5389f9-2c48-4bcb-ab75-054087f0c1bb)) + (fp_line (start 7.6 -3) (end 7.1 -3) (layer "F.SilkS") (width 0.1) (tstamp b5e5082b-2a18-4a1e-85ab-d45f8cfa1c8b)) + (fp_line (start 7.6 -3) (end 7.6 -2.5) (layer "F.SilkS") (width 0.1) (tstamp bbf0ee82-0a53-4964-90cc-ccce67073220)) + (fp_line (start -2.6 3) (end -2.6 2.5) (layer "F.SilkS") (width 0.1) (tstamp e6bc4211-8bad-45c0-958c-d2efb881b460)) + (fp_line (start 7.7 -3.13) (end 7.7 3.12) (layer "F.CrtYd") (width 0.05) (tstamp 454e2177-5fe0-42ed-afb7-b3f290c227ac)) + (fp_line (start 7.7 -3.13) (end -2.7 -3.13) (layer "F.CrtYd") (width 0.05) (tstamp 535e1454-665a-405e-a7ec-108550f5b90f)) + (fp_line (start 7.7 3.12) (end -2.7 3.12) (layer "F.CrtYd") (width 0.05) (tstamp 70f41bed-ed90-45c9-be51-1ecbc095bbad)) + (fp_line (start -2.7 -3.13) (end -2.7 3.12) (layer "F.CrtYd") (width 0.05) (tstamp 9f51ec13-fc4c-4484-83c3-2d90996e9055)) + (fp_line (start 7.45 -2.875) (end 7.45 2.875) (layer "F.Fab") (width 0.1) (tstamp 09072bba-415f-4957-b575-913f3dbeb4fa)) + (fp_line (start -2.45 -2.875) (end 7.45 -2.875) (layer "F.Fab") (width 0.1) (tstamp 1a2e7337-4e0d-449f-8a3d-0e2e370c8af9)) + (fp_line (start -2.45 -2.875) (end -2.45 2.875) (layer "F.Fab") (width 0.1) (tstamp 5955571b-7ad8-415c-998c-a1d742702224)) + (fp_line (start -2.45 2.875) (end 7.45 2.875) (layer "F.Fab") (width 0.1) (tstamp 5dacec12-551a-40d1-9cd0-e72d7de61f67)) + (pad "1" thru_hole circle locked (at 0 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) + (net 1 "GND") (tstamp b4e80b95-57c7-4fab-af19-2419a993391a)) + (pad "2" thru_hole circle locked (at 2.5 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) + (net 3 "/A") (tstamp f00591a6-6feb-4217-a469-41670f713003)) + (pad "3" thru_hole circle locked (at 5 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) + (net 2 "VCC") (tstamp 7df8d19c-6caf-41ec-ad61-862043b77e21)) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e83d4) + (at 135.001 108.5215 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617f1e57/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q1" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4aaa58ad-8b53-4bd9-8a78-f0e5ec25b38c) + ) + (fp_text value "P" (at 0.508 1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f426b058-10d8-4af8-bb71-4328538a74b0) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 778c8ea3-1c06-4d9d-9a19-6b95cd6945a3) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 4fab3c93-aa52-44a9-b6f3-6fdd8394aeed)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp a04ed680-17e4-420d-9dc3-320d610a1c5e)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 20436523-a2e9-45c0-9ac7-951389d71a58)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 6185ff6a-ddcc-4842-8e7d-14e5afc82e5a)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp bce5c149-df63-4412-bd23-8d05b90f9ef2)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp e1945870-2a0c-4b46-92a9-b5b7bd32dc40)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 390d8367-74fb-4869-8155-ece5a860f25f)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 4ac013c3-5bd1-4f9a-be7e-7c09ca0e1e54)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 4b2383c2-c62e-41c8-92ac-5340e91389c2)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp a98388ff-8a2b-44e5-913e-d60c18506309)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp fe870105-2a71-49d4-9067-76f0f70235d8)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "/A") (tstamp aa98010f-af37-468f-b897-6208c4a1a4e5)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (tstamp 5ec1b2e7-ec3d-4a06-934c-881c21e7bdc1)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 16 "/Sheet617F1E56/drain") (tstamp 9067214f-3181-46fc-9555-730ae019ab35)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e83fa) + (at 135.001 98.8695 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617f49c9/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q3" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f9f7c029-4acd-44f7-8b2e-7e8b53806d45) + ) + (fp_text value "P" (at 0.508 1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ab6be548-4a77-4486-9337-3ff73e6be8d4) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 1e0861af-4ccd-4529-8223-59ade61f1a45) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 0d7ca30f-8633-4493-90c9-74dd5262d7f2)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp aea545ff-31d5-4cb0-aad5-d1077bc41d95)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 6b8acfa1-62a0-4fdb-8e2a-55cd1c36cb62)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp aeb381b0-17fa-454d-af09-bfc7e12412d5)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp b5ee2ac3-5573-422e-93c2-6406d4e673a0)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp ddfda746-c65d-4f78-93b5-a469a21dbdba)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 1fc74d2d-11f7-4cc6-be81-eef5b007a3fa)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 2cc3683d-6b8b-40ee-93b4-967740d4628b)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 3a4623d7-c8ba-4634-8558-21b7bd2ef4f6)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 5d8b79d6-542f-4cac-b27c-cd1426069e61)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 7710e9b2-e275-4f43-8c24-5722ca41b690)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "/A") (tstamp 2fca32c0-5d44-4eea-a524-11b7eb5e56dd)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (tstamp afc2e013-e539-48fe-8a17-fede8974f6a5)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 17 "/Sheet617F49C8/drain") (tstamp 422631d4-3108-4ec3-8aa8-8e06d0340828)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e8420) + (at 140.081 98.8695 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617fa71e/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q5" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2c457662-287d-45f6-833c-b18f0f0952cd) + ) + (fp_text value "P" (at 0.508 1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d9dcc5b4-2450-4eb7-976f-b7cfb5e7b1b6) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp ad5925ea-49d9-4caf-a5b0-90f39ed3bb9f) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp ee901dca-f94b-4a53-9649-6f29b16a12fb)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp fc02d4ce-ca64-4560-b2f2-611353ff63c4)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 42528ec2-3868-4797-97d5-e3050c6f792e)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 5c0a5dd5-baaf-4c44-9b86-355738c77418)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 9c97004e-81d3-4119-84b9-6273d89575ab)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp a8e4b388-f6ff-4e1d-b383-a6e8d3f947ab)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 245b3c0a-d50b-4bd4-a2a7-a7066aa647e1)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 60cde6ff-fb80-434a-8ecf-256731b6d827)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 932d0f8d-4e35-447d-bf71-6b279f073597)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp bac89dc9-dcca-4b63-a5eb-8de90e9fa697)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp f404962c-6322-4b77-a544-d2ff684ca78a)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/B") (tstamp a0a1a4ad-27c2-4134-b84c-c21563aa586f)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "/Sheet617F9D74/out") (tstamp 3009d56d-74ad-4055-a8e9-74c40a867326)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "/Sheet617FA69D/drain") (tstamp 8f40efe1-7dc4-42ba-bc51-fdc5b27f6cb6)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e86b5) + (at 140.081 103.6955 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617fa69e/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q4" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 342ea110-d9a4-4147-a142-20a4ac910d3e) + ) + (fp_text value "P" (at 0.508 1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9e8e3ee2-683e-4a58-b458-5d6c744c7891) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp c09816dc-7fc5-45b5-ae06-ef4787cce7df) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 09a81209-db8f-447c-bc65-c73005cbf590)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 87f09040-2a0a-4c58-bb74-ed2a8c7e919f)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 15caf002-1d60-4807-abf2-b3d0ed673419)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 778e070e-fcfd-4a7c-955a-5c95ffa0d2db)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp ba6b59d1-5cfc-4005-8c6c-3c131a48a2ed)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp f3fb4e5d-e48e-4840-be8d-b3eaf21d1d04)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 92214b49-44ca-4afe-b242-285754906fac)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 9791d704-8190-4b27-a1e8-2c6cad24ebcd)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp b61b50a8-f03a-457c-8b4b-06fb233de06f)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp d528ff7d-d43e-442b-8cb7-50f7afcfa99b)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp fbe2a317-38d1-4e28-b0d4-822ec140203e)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "/Cin") (tstamp 874a1c50-599e-44b4-983a-61c2783113e9)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 18 "/Sheet617F77F7/out") (tstamp 5e5ff5dd-1b99-4a93-aca5-0930e1db76e9)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "/Sheet617FA69D/drain") (tstamp 294d8a9f-789e-47bb-b99a-dbefba6975e3)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e8818) + (at 140.081 105.4735) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617fa69e/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D4" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0441a4e5-d70d-4922-92b0-1382db959c35) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1c980a71-8635-4fd0-a943-6a71b70a59bb) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 4115b4f7-a48b-4bdf-ad00-be6f12e578ab) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp d573b346-75a6-4646-829d-3755f09e7355)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1b32ac5b-00b8-4201-ba37-0f88445d8696)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 31e10caa-2096-45be-a400-1850ee520ad4)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a00cc108-da0b-44fb-86cf-c0b075ca0480)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp c18f46fc-245e-4003-8aa8-cd0afec79636)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 22fb2432-cebb-4e62-9e61-cf5b41f35034)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp a939b2df-4e20-42e1-bebc-65ddb27241ca)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp ab57907f-3992-40f7-9ada-29d17db97d20)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp b4efb11a-e704-4556-9d65-20a9517f5988)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp bcdc6d3f-58b3-4534-adf6-f9d5606c6b49)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp c888b8e1-fba5-455d-b8c2-036df6df7ee7)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/Cin") (tstamp b257bb15-22d2-42f8-8283-4b177836e6ff)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "Net-(D4-Pad2)") (tstamp 1a4f9c9a-327a-4d96-ab91-ce95bc267733)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617e8a21) + (at 135.001 103.6955 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617f496c/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q2" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f532a349-7afc-4db3-9eb2-aa00ca978233) + ) + (fp_text value "P" (at 0.508 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6ab697e0-85fc-4d64-ac79-e548ef4beefe) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 881164f2-7b0b-4304-b6ff-901342b5ed1d) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 0c310cc1-4c6c-40ca-9af5-426f3942cc75)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp b5a08932-5a80-4ce7-83e9-b1a544640a5e)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 28128fc0-feab-4fc9-80f3-334d6573bc9e)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 7c2340d2-8440-4517-b233-eea522fb1cc6)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp cb41a3d8-1f6d-4199-998f-5e8b96b0bf3f)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp e9da6a7f-a13c-4942-a7ca-7b6855cfb9d7)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 11bc6be9-7dae-49bd-a505-307f78d9f5c7)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 315274c1-6698-4138-bf19-f6cdb66dd60b)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 9348837a-18c8-4c19-8c3e-d7a491fc86f7)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp bef0720a-d1d8-444e-bc2b-ac0137abaca1)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp cc2e4f85-6af9-4959-be98-223a9f91e169)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/B") (tstamp 0acf91aa-a7be-49d7-b8b0-0a7c6e7b2e8b)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (tstamp 4162b319-88b9-4f9e-9ccb-56caecc79b86)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 16 "/Sheet617F1E56/drain") (tstamp 8d88dde4-50e1-41a8-8e0b-1f6ed689a051)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617e9a1c) + (at 136.779 104.9655 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617f496c/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R2" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6c003ac7-90c8-49b8-b193-834d1be290b8) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b4e6a35e-dc75-4bf3-9cb6-1764dfb4e987) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp ce88477e-6c35-427b-bbce-d5f9140531e7) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 60a62b8e-0385-423a-984a-8d193d0ebbf5)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp dfac9619-387d-4b6d-a646-262e5df5a9db)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 3ddd09f8-71f9-4204-945f-c91f1b3fdf16)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a3bd190b-ce20-4b7b-8a5f-707cf93a451e)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp c802b20b-76f1-4ca0-83eb-ac3f45b24251)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp cf903b51-4018-4793-9fa2-59fbdf166b5e)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 5bdbcac7-7849-4216-9d43-6046b0e5712f)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp a22c6c60-7131-4b71-a0ab-9a3447f57607)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp be0db255-613e-42fb-9be1-5e89dbd8b3da)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp ff4cc45c-2d90-46e1-babf-d60e50a00b37)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "Net-(D2-Pad2)") (tstamp c4922fc3-1cf9-440b-92e2-7d5115e7bc64)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 765b502f-1d33-4e5c-9e9a-707f17fd427c)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9e7c) + (at 157.861 83.8835) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617ea119/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D11" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9cddfb24-f2e6-4b83-a0d2-03a24a9bfc97) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 842f3ba9-c08f-4a4d-ac83-a47b3eb5cbec) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 7a6dfc70-1d58-40a9-b259-bb61eb080710) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 40f9ea9c-d7d1-454a-a1a0-9eae35649659)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 05186bcf-c0ee-4814-b350-c5a73ad9d19a)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 0ca014fd-d8df-4172-b578-66474c34da28)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 609d1aeb-b0af-47ac-be1e-04d28e25e7f8)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp c6a3f693-910c-4e4a-b40b-a8e38fbd69c9)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 2d3d749c-8660-4315-9553-c8af494f143e)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 5860e45e-7c5a-4fe8-a970-5c3eff795b39)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 5acd7c90-c381-4184-8b6b-f19f66192c11)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 765c3613-84e9-4e0a-bd37-7c187c81bde5)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 7b66cbe3-d3d8-43a9-b89a-ba40ffbcd3a5)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 8b55bc8f-1214-42bb-a2fa-067a7e874e33)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/Coutinv") (tstamp dce4ab1d-ff01-4839-b3dc-80f8b3d9d5a0)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "Net-(D11-Pad2)") (tstamp d387c5cb-eb27-4718-b3f7-0801338150bd)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9e8e) + (at 135.001 95.8215) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617eb758/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D12" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 19fd25f9-e8f0-4032-a379-144084eebe63) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c57497a7-26f2-4811-b728-e817cd87277c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp db39084c-6e26-453f-b6a0-35110b5cd5b9) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 841e80d2-2cba-4048-948d-89d73a229fe4)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 4c57991a-8f88-46ec-bc43-7c5f27331e36)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 77bb711a-fde8-409e-8dc3-774b996f4e6e)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp ac5ad97e-18b3-400a-8de6-27d91ffbb737)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp ec044417-d90f-4b55-b9f4-513a8798a4b6)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 019cde2d-8adb-467f-bd4a-63d3b324a7f3)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 49d196b6-b7a5-4961-b73b-31ef245fa8d5)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 7912743a-e78b-40c6-a714-f749043e7478)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 8514fabd-53f1-4fbe-8049-d7b6ddb75813)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp ac2df61b-cec2-4c95-83ae-d32b45184839)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp b4ed2d7d-dfd4-4bca-b3bf-7627961ef448)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A") (tstamp 3efa6b27-9392-41c6-a1c0-f1da4f9b8a6c)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "Net-(D12-Pad2)") (tstamp 569cfd7c-da08-44e8-a740-e0054e613d07)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9ea0) + (at 135.001 90.9955) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617ecbc3/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D13" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c808a818-2402-45df-b15f-564bbce6d29c) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0b0ea312-6b7b-4349-bb4a-a09b0a21cd99) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 1c2435d5-d999-40fc-a20a-5815b681bf9d) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 84987439-30ce-447a-8d24-cc68a87103bb)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 17976cbd-f97b-4be5-9d25-887d0a356b33)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 3bb80204-fe65-4ec7-ba28-c79d3a233bb8)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 695ffe1a-8eca-49bd-87d3-382c861df82f)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp b1a70ffa-3165-47fd-bfe8-e5003a3ae939)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 4fc4bb61-1c2e-4754-b59c-b04c266d1027)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 7b104263-544e-4606-a2cc-c5d4eb1deca3)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 91823d73-a4a8-48d8-b306-69eb213ca19c)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 9e308d69-bdad-4a74-8057-862fe5757e91)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 9e77f80b-c8b3-47cb-b65e-9c006cc075c4)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp c0f938b7-0872-4e8b-8735-c09a0f5bd559)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/B") (tstamp df5641cc-5c11-43eb-b640-f63138c72a03)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "Net-(D13-Pad2)") (tstamp 7e969a33-6f85-47eb-a0b8-a2a12351723e)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9eb2) + (at 135.001 86.1695) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617edff6/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D14" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9b171526-ca98-4404-a526-85cecd127cbe) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cbbf9db4-0f0f-48b2-9579-1224f0e0970b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 666c8003-d3c4-4da8-9a8b-707dd652384d) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp a1c9fe9b-7c4b-4f89-8dfc-a3bbc3da2951)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 589a8da6-db39-4f28-86fe-f4106c55d066)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 65e3a172-1042-4ae8-bd04-ec3d9d2211d7)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 923d3ebd-e77b-4e4f-a4a3-1a90b3e4dc02)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp c34bfa31-24b9-4848-969c-a549bb052d03)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 1c01fee1-d4eb-4d44-8893-1a4cd85ab6d0)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 3f00c9db-d1ad-40b8-a130-2cb0fdf142ee)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 65238d84-03fe-4bea-ba3e-03b00d1c4bf5)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 8ea23e63-8a82-4372-83af-4910ebeac311)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp a7b1dde9-106c-4f26-b9b2-2211c2cc0c47)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp f549ecb6-ac4d-4897-8a68-ad8242ac6f2d)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/Cin") (tstamp 840b29e3-4548-4907-94d0-f50d2b540ba5)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "Net-(D14-Pad2)") (tstamp 596616a0-fc7b-4e77-a6af-7a57cb85fdf3)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9ed6) + (at 147.447 95.8215) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617f2465/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D16" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0b13dfc3-1454-4fdf-8078-c019cb28fe98) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 96451153-1acc-49f3-827d-7b2d5dc0e5d4) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp dbdb663b-682b-45c8-b6f9-96f329276d00) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 9d409c65-9189-4515-b9b6-56778278c70a)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 00cb4d71-0f1f-464d-9a6c-0f2c0b69f831)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 0eda2369-a34e-480c-9282-19da3720b20a)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 20d2d005-0ce2-4611-84f1-4755195e66a6)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp c0b8ab3a-9a24-47bd-a8f1-b8f9d3a3b1f6)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 10b7a704-815c-4a28-811e-cfb7561be5d0)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 5da5ff1a-289c-4df0-9394-ac9d14f406c2)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 8b1e5f6d-95d7-4151-b052-563ff69fcc0c)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp c430abb7-ce11-4dec-bfc1-1987efa0e454)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp e11b7daf-1974-4b51-8bcd-b356b1fd8611)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp e932cc60-babc-4f93-864c-ba0d9f130fd0)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "Net-(D16-Pad1)") (tstamp b1e10ff4-e35a-4f70-8871-f871167d4507)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/Coutinv") (tstamp 20390954-af8d-4e49-8665-d6f7ccadf8aa)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9ee8) + (at 152.527 86.1695) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617f3f28/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D17" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f307f264-dc98-496a-855e-6215aa665ec6) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d564385e-98ae-49e1-bf5e-1e1bc8c84a09) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp ae231bca-8443-4096-afa6-b77ee6a71dcb) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 37a6912e-bcaa-4ecd-82fa-5be7f5790d0a)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 7cbd9a86-b4c9-4580-a086-1afd2f11dc95)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp ae0e852e-7cdc-4a3d-aae3-d8eeba7212b8)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e242c7ea-5120-42ad-b55d-789fe70be183)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp f3f0213e-74fb-45df-a2b9-3ee2d3319ada)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 2d8de15b-ead3-42cd-b084-9d0c1a042c64)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 7239c768-7e83-4f4f-a771-448b99b7a1b2)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 860c490e-e131-42e4-88b0-54943474cc48)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp a9538459-fbae-449e-af04-72c68a81cc2c)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp e5962c2f-4c4d-4aee-845f-871a3a691abc)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp eef3b8e2-3cbe-48ee-9e06-c07c194b2a99)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "Net-(D17-Pad1)") (tstamp 9662feb5-793c-4d48-b5dd-1ea48256ad16)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/Cin") (tstamp 87ed2753-9b8b-4727-baf6-6a52a08fb3e8)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9efa) + (at 152.527 90.9955) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617f542f/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D18" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cb734055-f686-4471-a22b-a82257019ade) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b0e078ff-b6a9-4625-ab17-1dc9bed9a2ca) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 017fb69e-c393-45aa-bc2e-c69ab0ad7d69) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp b19d5978-4527-4b57-a3cd-eb2cc283096a)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 2639b2a6-c0b3-467c-b4f3-8fb1e1373170)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 759e032a-8414-4ca6-9404-40ef59f8b723)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp ca428acc-6f40-4b63-a33d-81ed9846d82f)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp f5f83a29-6ee5-4a49-b8bf-c592edd89046)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 1b96f021-e6ff-407b-a101-85fed6f33f10)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 20583fba-f6bc-4966-93c1-9e2dc5ec7f38)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 7c0e0d21-93bb-4307-8860-e739799421fb)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 853c8be7-8228-4c0b-a7cb-c7ac8b011d07)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 8e62fa36-a6bb-4c08-9fa2-8e18ab2664dc)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp e47aa082-61fe-41be-adce-0e1ca7e7b851)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "Net-(D18-Pad1)") (tstamp bc588faa-39cb-479e-93de-42933845591c)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/B") (tstamp ee0ae492-3432-4f47-9057-6d5ccb5321a5)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9f0c) + (at 152.527 95.8215) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617f68da/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D19" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9127ce7e-bcf4-4fb0-a390-e5817adf4c9d) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp aca8b257-4ec4-424f-a4ab-173574dca72f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp d182cbca-e0cd-4130-a953-88ea0cebd11e) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 07d196df-7920-4f20-a3a5-423f26a2e6fb)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 71d9639e-4006-4b2e-89c4-863f6f7d4cab)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a41b829c-cbd4-46c6-859a-ba1dcfa56ef5)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d9c4b149-5d74-4c62-a112-5bc3ceac67b3)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e83b1696-6334-4096-ac05-5d93c6d41cf3)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 3de7b894-6a8b-46e8-9ccc-a42ad6f7d36c)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 482c77ed-8423-4bd6-a153-f0aaba617f25)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 49857db4-3f5a-44b0-aae8-ff1457d3c8b1)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 6078ac31-c978-4e44-b35c-802ae72f07a6)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp b345caa0-a8b2-4684-835e-bdf053eab7da)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp d1b1e1cb-c66f-4758-bf87-e9401b812aaa)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "Net-(D19-Pad1)") (tstamp 2835bf3c-f879-478d-bda9-7bfedc61046e)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A") (tstamp 7fb7c223-ab17-494a-abdd-0dd4a6e03632)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9f54) + (at 140.081 81.3435) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-00006189461a/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D23" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7fbeceb9-15e2-4465-a5b1-23843dc9c574) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a50dbd1d-d39a-4cad-9eb1-90f08d19fe9b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 0fdddc22-5575-4f86-bab3-12c6df8ee4b9) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp a5da824c-ccb4-4b28-b4d4-0190ef2eb91e)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 13741d15-2d73-4c8b-8d63-fab634623f26)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 7a17a2e8-66ae-4362-9c48-0ec7b5cf5c2e)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 7cea4982-bbf9-4e99-b5f1-8c9253ac2719)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp d2190df8-7ea3-4b1e-b7ba-4fc6bc797d6c)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 00705522-1996-493e-ab50-5d534eb3fba6)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 08bc48bc-52ec-4096-957e-88052f8c143d)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 240b317b-6c7d-44d6-8ae8-5b3b7140ab89)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 723c2e33-19ec-424f-bb30-6f06bd2873a2)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 8478c907-4656-4fbd-ae6a-4988be4f6ec9)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp a17c228b-40f0-4327-a28c-6c2f875dbf71)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/Cin") (tstamp b2995fdb-218e-48b5-8708-c80f13a73dc5)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "Net-(D23-Pad2)") (tstamp 9edc4faf-a1c2-405c-9404-69f4f836b82a)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9f78) + (at 147.447 86.1695) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-000061902300/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D25" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ab9158e5-0ecb-4c66-81b7-42586e0f44a3) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4c09adcd-4602-42e8-a920-c7f784ee91f3) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 67621756-2b95-4541-b096-617bbf208421) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp cb3e9a06-0f38-408a-90a1-a697c9c62ce7)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1606b375-796d-4e57-8623-0d608b0a0b5e)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 2043d676-9b16-4ab8-82db-2a7d898ccb27)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a59d9913-14f6-45bd-b50a-49774c8736c8)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d92c47b3-12c3-4c1c-9bfe-ae33438f9443)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 0102e26f-d590-4ce6-b4dd-d1b059507c6a)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 15b2f205-7dab-4c12-9d79-fbe6ad233de8)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 1e8056a0-58d6-4c58-855f-c9f147e45f4e)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 48c72c1b-7d91-43e9-b538-046aea9514e5)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 5d22475d-23fc-4dac-acde-03d305694992)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp a74fdffb-b66f-4a97-8630-05815f3ef719)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "Net-(D25-Pad1)") (tstamp 10039cda-d761-484f-b7ec-d75c3915d4c7)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/B") (tstamp 96ba7554-b941-4084-a883-3115bd300439)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9f8a) + (at 152.527 81.3435) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-000061902305/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D26" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e7fd3d26-823e-4bbd-96f9-c8a5b4a37753) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3318e9b0-83e7-4e6d-833f-d9f54d1980a1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 30a671aa-701e-4dcb-9838-4aae9d16c7ab) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp cf3a9f31-16e5-4cfb-a73f-e8e6c0af47db)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 138839f9-05a6-4967-8205-5ea680607877)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp a3b7d643-4cd9-4545-88b5-7750997f88da)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp ca7f47f1-b2cc-4c6e-97bb-a3b9b5093cb5)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp fb7c1c35-2e7d-4586-be30-91b15863ba94)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 44619f06-a0e8-4ef2-ae52-061d224a1ac9)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 5cec99e0-2997-4081-a36d-884733baafbc)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 91f8f93f-b153-4163-ad1e-9dd44ce32a08)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp a50b19fb-a009-484c-896e-cc515cb7c943)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp d23788e5-6050-4907-925d-f264f794b1a6)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp dc71bab9-06b3-4de2-8cb2-d2e4680532ec)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "Net-(D26-Pad1)") (tstamp 28431160-6ddd-481e-b7b9-1d6085b5ac3a)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A") (tstamp c1ca4eeb-2f15-49b1-b38c-5b30cc256bdf)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9f9c) + (at 129.177 83.8635) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-00006196c125/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D27" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 11129c81-665a-4ec1-bf75-77461bfd3677) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 08da3940-51f4-498d-8edf-c20ddf834da2) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 9ea431b3-549c-4b75-bd0a-aab640990c10) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 9ab815cf-3583-430f-8aca-243b575f4395)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 17e11ddb-1e33-4115-a494-508306ddce7d)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 6c1fddef-549d-4380-b0f6-cad5d90a224f)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp ca767e5a-4253-4550-a8b2-25c9f3195d71)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp dfb4a04d-c30d-4da4-81d7-9295c22ce8c5)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 729cd2ed-16ba-44f4-9ad1-f9f9f4e404c2)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 98911d93-e1e0-4b3c-83a1-a85c767c6fc4)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp b37f55b4-d1b8-423b-9bd7-8803e5c8bea0)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp bb3b4d86-26fd-4e44-8468-e798ee18ebf2)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp bfd7615e-5a82-498a-b33e-9648401a5f4b)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp ee9e828c-6cc5-4e22-9bd2-7e8a8335432e)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/Sinv") (tstamp d6b3dbec-3836-461e-abf8-e7d5d880acc0)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "Net-(D27-Pad2)") (tstamp ac3ba3cc-a82f-480e-85bf-843583d968f5)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617e9fae) + (at 129.159 82.6135) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-00006196c12a/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D28" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 02429639-c80c-4d26-b3a8-f111e97b3920) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp af1e4015-ace1-4a1b-9205-1532d63c4e8e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp b0a0d61b-bb0d-4889-8471-99170419ecdb) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 7e0b2654-dae4-460e-ba25-455e428ec95d)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 6017bc41-ea70-406c-ae7f-cbc9ba64daae)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp acfad35c-53c0-4006-8a11-8324557ef422)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp ca3d547a-5451-457e-86bb-18499498b97f)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp ff00b864-461c-4793-a0f2-3907c1c39c4c)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 20195c63-53bd-406c-abcf-eaec5bc04477)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 710d325f-5fb3-4746-95e4-15ea1b36c6c7)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 9719951d-eb4b-4bad-b668-7aaafc1092b9)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp d1419e26-13e2-4eb5-8d31-12e6244240cd)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp db1e3ec1-676d-4496-a739-d8b378c3d5b7)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp febb332a-7e3d-4603-8990-77fa452feaef)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "Net-(D28-Pad1)") (tstamp 3e2d44f2-4fcb-4336-b473-e055fd7fd524)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/Sinv") (tstamp 78a225cb-4c2c-473b-8b55-2aa771e5ba36)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea26c) + (at 135.001 94.0435 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617eb758/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q12" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ea5897da-5207-401a-9259-c679625ca6ee) + ) + (fp_text value "P" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 712eabb4-49d6-425d-a77e-ca97b01b0992) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp d921046a-8ab0-4ab9-ade9-0ceef63ffdb0) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 8c50c83f-92e2-43af-81c3-6e9ff7864d90)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp aa53c1d4-00eb-4379-b46e-b0661e2b1a6d)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 0ebd8f5f-c586-42dc-9d34-7fc0742b6b0e)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 12c270e2-4292-4ed4-81b9-6b8897d9f828)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 1d8122c6-1f5a-48dc-a720-3755731d49ca)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp c1cf1b41-125f-44fa-a111-3493238f1b5b)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 172fcce3-7d16-4f32-bd3a-efdf51aa86e3)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 4434fdcf-46cc-4d41-8de1-297c1e9ed454)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp b095d903-ce0c-4fad-b58d-3fb817363383)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp c7ea35f4-91f9-4e4f-a5cf-4bb4988a4399)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp edb95f33-0511-41d5-893b-861daa9bb8d0)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "/A") (tstamp 89b97e27-2a3c-464e-a041-64ffa90aaa56)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (tstamp 79b7a9f8-7411-46af-b3c4-751977efe430)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 48 "/sheet617EB753/drain") (tstamp b4de1f54-9c8d-411c-8209-850aa98c6a8f)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea27f) + (at 135.001 89.2175 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617ecbc3/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q13" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4a31bc66-7b9d-4fc8-8854-c1df21c66045) + ) + (fp_text value "P" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8dead078-9aa7-4664-99e2-ee9105075073) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 84890796-6490-4b53-8e78-7685e2440941) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp ecc97e63-3965-4b2c-a11f-fb5414ed9023)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp ecff4ed5-c336-4cd5-a7d8-558192cb087d)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 0c7e48c5-0159-4ede-a501-73e7eb269c1a)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 466aa5d4-5f91-4b7c-b8fd-6603630edb6c)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp a3f438d1-4d5d-4710-a313-d10fdfb8eaa7)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp ae62c44b-8f25-4f38-bae9-14ba5291aef2)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 26b29c7c-1d30-4002-a7c7-1884fd3a1d62)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp a4d979d3-afef-43e0-becd-6497a3d633a4)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp b545d86d-db23-47fc-bee8-4011a971cc29)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp b65b2299-b566-496b-bf72-b0049c5618b6)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp fd4581eb-1c16-40e5-bdff-d22da94de30a)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/B") (tstamp ab8e4ed3-e67c-4e98-b99c-08f2a8bcffb4)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (tstamp 3405ccc4-9b8e-4286-9ece-ff607414c861)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 48 "/sheet617EB753/drain") (tstamp f664fc09-eead-4204-aa5f-8ad34e401af0)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea292) + (at 135.001 84.3915 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617edff6/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q14" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 78fa4c98-8f12-4984-b8ba-79c888928e93) + ) + (fp_text value "P" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8d204471-b225-41bc-abbc-5fea5c151f1f) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 8bda8fea-6ff4-479c-b818-5a09c178a8de) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 1b96ebb5-7d93-40d0-a61c-ea25b4e99a74)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 4a2e32bf-8609-4e18-bd82-71cb4e07400b)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 4e959b48-13e6-4c75-ba95-a450b45f7019)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 81d5496a-e904-4a9f-a033-bba0d084f817)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp dc90ae7a-9596-4db7-a29d-c72bab156e4a)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp f8d42469-8fc6-4485-87d2-c72c026e1fef)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 0fda7504-02de-4fb8-bdd7-c1515308d56d)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 48d0f80f-20a5-415d-a4d0-69da327ab27c)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 7f54dbd8-78ae-439d-a82c-92ba7077200d)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 967e6ed9-5b73-4c1c-a2c7-dc2ed571da7d)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp a97f9300-118e-4463-91c7-e4a24b492cde)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "/Cin") (tstamp e55fcfb6-a4dc-476f-97c8-ab57ce0ff6e7)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (tstamp ec743f71-888c-43d3-ad00-a5fc45d3be59)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 48 "/sheet617EB753/drain") (tstamp e09a323d-4fab-41f0-ac6c-6d95b48b0133)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea2a5) + (at 140.081 94.0435 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617ef7a1/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q15" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ef6c611f-a93e-4844-8c5e-7418fb4bbe5c) + ) + (fp_text value "P" (at 0.508 1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c7b28559-605c-44ec-98e9-4a6a5acbe4c3) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 653ff4bb-f47b-41c3-97bc-c559479d2dde) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 954cd86f-14f2-4a03-9c38-4ae3aa97ed50)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp ad351dba-cd0b-4bc9-b9d6-3e2e2383de0c)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 231ebd99-3506-46d5-b7b1-fa7c13440741)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp c68747b9-078c-4b4e-bb17-bac1087e5fc3)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp d594545d-94db-4622-991d-bd4847421bd1)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp feb58bdc-034e-4e3d-8ca8-8e04a5b9efe9)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 104fc2ef-5e41-4f34-a3fd-38f697a04da7)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 1efa25c2-6b91-455c-8be8-3280a955b716)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp ea019e87-7af2-4c22-bf92-57b4a88c1265)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp f559b106-1224-4cd3-a98f-c24c2a1a712b)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp f85b39ba-4901-4acf-bf0f-e8d8e352784a)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "/Coutinv") (tstamp c3c84c91-a9b2-4dd6-a5cf-49272f154cbf)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 49 "/sheet617F0BFA/out") (tstamp 224aab27-8d3a-49d0-a016-6dcec486b3e3)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "/sheet617EF79C/drain") (tstamp ca517f03-5e67-415d-b369-bbf06f1a35ec)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea2b8) + (at 147.447 94.0435 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617f2465/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q16" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0b265d92-c495-4c4b-b57c-44a4baf210b0) + ) + (fp_text value "N" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d50f0242-61dd-4fce-beb4-e8fe9b0fc8b9) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 0369639e-fcc0-4c80-b499-7d3578c66202) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 8db1e1fc-4a35-4268-8320-3a66a7498b7a)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp ba2a1e6b-c1ae-4078-8e1a-8e77ddfb38f9)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 0f04888f-f132-467b-8e68-4d14abce528d)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 2239fc71-beb6-4a81-b63f-331133d9dcee)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 27f89111-cb1c-4593-b2e5-b1db01a20057)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 95d7a5b1-10ac-49cf-a068-7226d46f7cd4)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 01192c95-dec7-4215-82a7-faf56e153c57)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 2bbe2438-2375-43a1-a119-d768328dc198)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 2d8258db-2904-4f08-bcb6-125a255e5573)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 4be0387e-4f79-4a37-ab26-aaa89239b144)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 8587b67d-9c60-4c12-aec5-3ec2790bc75d)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "/Coutinv") (tstamp 8b98de94-9f60-46a0-bdb4-ed5d26bb6583)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "/sheet617EF79C/drain") (tstamp d603eb81-c179-4576-a050-ebd3702d7802)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 51 "/sheet61827E81/out") (tstamp 887e08db-26ea-4e11-ba9f-7a8b025a0255)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea2cb) + (at 152.527 84.3915 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617f3f28/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q17" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1ffa66ea-b932-4394-83dc-351e23a3a029) + ) + (fp_text value "N" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 80cf3d82-9340-4da2-af71-caa025274714) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp dddd2a0d-e6df-4a7f-8181-510448387b49) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 917a72d0-4283-465d-b566-347a64f42342)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp d8c21ed0-001c-4f29-8590-cf193fe5ecf0)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 4385e4d6-da45-406e-8126-2e36ced0ebab)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 80852767-c9ae-4c47-83a5-457d0201abc7)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp b14979bf-f54b-49ed-a392-fea6972d0ae4)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp d89b3c51-ba1b-41ba-8ba6-687457c00acf)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 38dcf921-97b1-49e1-92cc-d98e082f5bf2)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 62a59a4f-1f90-46dc-91d3-ba63dc1d6a7b)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 7be80f4c-7cde-4a9b-afef-2ce0fefe91a5)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp bc256bac-47b4-4e4b-8c9f-0f1777b2247f)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp fb2f8f84-0fba-4c93-bb3c-48bd44bb5ce2)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "/Cin") (tstamp cdab1707-fc1b-432e-814d-fab852a481ea)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "/sheet617F3F23/drain") (tstamp 0cde61ce-cb45-4300-a06e-df15b765a9dd)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (tstamp 04b64aac-8dfb-497e-adcd-cdfcfa88a5bd)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea32a) + (at 140.081 84.3915 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-00006188f5bd/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q22" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 867a00e4-a196-43cc-aa8b-340acc34d6dc) + ) + (fp_text value "P" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c7eef498-f94e-4abb-bad0-38e67ee84f34) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 9592efc4-bd5b-409c-a53d-333e36ac4328) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 3f77ede2-604c-472f-9c03-e854f84d2ee3)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp edad4595-5b05-4709-8d33-21cad66194a7)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 32e5a610-7d99-4edb-9676-c9194d6838e2)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 356fe45c-23c2-4cf0-822d-e3b6a236bd76)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 52d3f9e5-106d-4c43-b72b-969350957630)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp e5483d93-beef-4d86-883f-4c5385df65b0)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 22f683d7-aaef-489d-808b-aef38f93e5c4)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 2ca7067d-ac54-4aa8-a278-8b086e6b0ba0)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 5464113f-c868-40ef-b9f1-c45713004cd1)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp d1abfa46-278c-412f-a1c0-f6423695fc83)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp eb3a74c4-e9ea-4346-8a9d-b8c094053fe2)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/B") (tstamp eb74d77e-ca89-4612-9ea3-12ffaada99d7)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 55 "/sheet6189E1B4/out") (tstamp 8595af7b-d098-45d1-b642-0b70cabe891b)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 56 "/sheet6188F5B8/drain") (tstamp 94f9fe79-117c-4b7f-ad93-67e5293d5bc7)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea33d) + (at 140.081 79.5655 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-00006189461a/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q23" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1fc4a639-414c-498a-810a-1807367807e8) + ) + (fp_text value "P" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f342cbc7-11aa-4afb-a223-05778e80ae04) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp d6a3e7f5-787f-4c7d-859f-75cb21df42cf) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 43daaf15-52b7-4572-8a9f-a9f79c7dc0f7)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 47ebcf2b-b106-42db-8d13-4284bd3940fa)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 0967ff22-b5b5-48f4-8bac-7ddb58202265)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 1159c832-602f-4f50-910e-552ddc72d0d0)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp a95acfd1-6e43-44f3-ad8d-410b77f7ece3)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp fd8d34e1-b375-4512-b559-17d79ae7e5b3)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 1835903f-92c0-4dcb-90aa-da91e3d9a538)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 1ad74788-fdcb-40fe-b7bc-37761e4a8f86)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 8c9c6b1d-bce8-4638-84bd-7baa0e526adf)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 93c38df1-ff53-4635-89d6-1b7b11bf2288)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 96ffdcad-5a47-4d23-b3fd-81721cb2368e)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "/Cin") (tstamp e3cb606d-e6d8-44a0-bca8-ccd5fbc85b54)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 57 "/sheet618AD770/out") (tstamp 38b675a3-e7fc-4e6a-8876-f8996b6df1d9)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "/sheet617EF79C/drain") (tstamp 66c3d81d-7068-43b7-a532-b7ded9cf4982)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea350) + (at 147.447 79.5655 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000618f6378/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q24" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 44b54225-9566-4824-9da2-d9a9ed86c3e1) + ) + (fp_text value "N" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3cdf0c93-1383-4ba1-add6-29485f35ea03) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 4fe54111-b18f-45b2-b198-e2c3ce7b9fe3) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 26ddabeb-ad63-497f-a565-208973480293)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 8cf79bd8-230c-42ec-ac94-e9d0bbc73b9c)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 327ca4f9-bd90-4b6b-afc9-cd2a8f3cc5b6)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 39189218-cc0f-454f-a6b2-3a46f51a133a)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 5ea1739b-b098-4763-8858-897b4fb80295)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 8d092243-0740-48dd-8f18-a3bc683d9757)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 03b8dee4-ffcf-4eb9-93a6-31adf289df41)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 6aef2264-75d7-4d49-ba5a-5071f03f7a75)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 9452cd95-c644-4b20-a90a-b595ccbb430d)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp c8b5f171-1559-4be8-8fd1-74b2d9555a0c)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp e898a664-ce37-4585-a325-c9027c1485b4)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "/Cin") (tstamp 4b245d66-4625-49f0-9ec4-6a1053faa32e)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "/sheet617EF79C/drain") (tstamp bd64a07f-f1e7-44f8-9028-8653b5aa9e4e)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 58 "/sheet6191B1A0/in") (tstamp bbe440f2-aac7-4972-8e20-24fac3c325db)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea363) + (at 147.447 84.3915 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-000061902300/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q25" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 63c852f7-287f-4764-8436-2dcb68913759) + ) + (fp_text value "N" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0687c452-2dab-4dd8-999b-5aeecf2c6271) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 300359aa-da74-4b95-a59c-2ab0aeb210ae) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 010cf898-9115-40b6-939b-278cd4e5aaf7)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp db0b5c08-8bf5-4560-af56-a181ba3ac564)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp b076a5a0-ac90-442e-add5-6cbd35843099)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp bee2b7a4-1d17-4bd6-91a1-81901cc5ac5e)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp c65fc919-3b9d-4dff-8fbc-de7942370a24)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp cf8cf90e-59cc-4f2f-8db1-da6d6a49d1e9)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 2b8f8e2e-e97f-4b39-9364-02f7d37ffdf3)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 7308efa8-2071-4cd6-bd5d-bbc912dfc3cc)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 8aa80e44-1e31-4310-ac42-5e1e9fb90721)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp a0e1be5c-c0c1-4c1d-8283-55d2cd023b4d)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp ecf94dd9-dbc1-4360-a8b1-6750eae13c3c)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/B") (tstamp 0808796e-6838-4a68-b27f-c277f479feb3)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 59 "/sheet619022FA/drain") (tstamp ccfab94e-1458-4d03-bc98-8f052f08e745)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 60 "/sheet61921360/in") (tstamp e8c11cb9-3ca0-4428-97bf-bc26f2e40ffd)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea376) + (at 152.527 79.5655 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-000061902305/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q26" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8688a488-9b51-469c-85d9-2d6be488f4f2) + ) + (fp_text value "N" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 35e0edad-095a-47ae-9a54-4a657981f129) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 444a3c15-9a0c-45f7-8073-582f3cc1b667) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 53a63a44-46f1-4f56-a67f-de72d62eb363)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 6c9fe336-6688-43ab-a8c9-1ef25b7b4bff)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 0a0c0026-1881-4784-99a8-cfc557abba14)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 21844447-b94b-49c3-8683-e9cc05a308ad)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 5ca6349f-87b3-4aaa-a4ab-5d2806bfc5aa)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp be3c42ed-16ac-4524-8303-4a0e660eec94)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 054989e3-9bb0-4c60-b8ff-97fadbcf8640)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 5f5aa4d4-76e0-4f9f-b8fb-1180510b8730)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp a0900b00-2251-452b-b7f0-86f32f1a174f)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp c9241006-374c-44dd-a268-5d6976cbce6e)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp cd94de07-8549-468e-a41e-9fb601e939c9)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "/A") (tstamp 9aef5686-86fc-4e74-ae8c-2805284db946)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 61 "/sheet619022FB/drain") (tstamp 3a6df71d-8185-4a93-88a7-4a04327e6da4)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (tstamp 7f3d4a19-ce8a-4c83-8bba-e4ba6d363820)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea389) + (at 129.157 85.7235 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-00006196c125/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q27" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 389aa250-8f02-4cbb-ba00-0896f4a08061) + ) + (fp_text value "P" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2f34fa1f-2bd6-431e-bb9d-91f6503a4e64) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp fc8bfad3-5fbb-414d-8dfd-1a66496df0b7) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 8364b017-35b5-406d-b0bb-f867110b2c7a)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 89c0b85c-cf40-4370-912f-484f33141fb6)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 078fdef9-e852-489e-ac8d-05511f547369)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 62ca6424-8ae0-43c5-9da9-cc87eb63dfa0)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp c40fcb57-23f0-4caa-aab7-d9c1aff2fe58)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp ef4ea690-2883-404f-8a06-0cd5a8a2a913)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 2c895666-25b4-40c8-9d4f-95d4256d81ff)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 39082c70-6d25-4a82-9921-fda448f42d1e)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 43888e41-acc2-48df-bf95-9f60b616d0c9)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 997e108f-eb74-4d2a-988f-de08eaccb608)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp aae67dcb-210e-4c97-a1f7-4dd43c1088ea)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 44 "/Sinv") (tstamp 19dc57f9-6d1a-4539-afc9-ab7ef8347774)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (tstamp b2ecf4b0-22ac-4d65-b2ee-4ac3a082859d)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 62 "/sheet6196C11E/drain") (tstamp e61d420c-ceff-4ab6-9983-3e813991897d)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ea39c) + (at 129.175 80.8355 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-00006196c12a/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q28" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 25108611-c301-4e8f-8768-a1e1df40f74f) + ) + (fp_text value "N" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f6440113-4c84-41e2-871a-bd5cac9eaea8) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 33266739-29fc-4618-8d1a-db40c70b69d0) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp a3f5821f-9f58-41d5-a7d7-8bf5cc133e32)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp d51a15d7-e70b-4c88-909d-6931c2c916cf)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 123b30dd-8816-4438-857f-ef6f3fd6ff7f)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 13bf6939-27be-4ce0-99ff-4f64dc3a5f0e)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 22f2933d-18bd-4ac1-9372-8a96b11e4995)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 6d2ff105-f46e-41c8-8983-9deb66ee542a)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 0d68ca9a-40f0-4e2e-ba61-89cfa3696ab3)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 1537342b-4661-4cbb-a491-775ff0e43743)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 42bd0ed5-b156-4598-b2fc-7ea09ad4c14b)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp b69c8ad3-2ba6-4c4c-85a9-f78218354c25)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp ceca7be6-d544-403f-93c1-72e555b9ddda)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 44 "/Sinv") (tstamp 2026ea4f-a5ad-4ef9-9902-0f1427cd5787)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 62 "/sheet6196C11E/drain") (tstamp 33a0bafb-7a38-4585-93c8-50a8b46d9aac)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (tstamp e86b7f13-3e6e-4002-a7b5-05dbae3fb9e5)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea575) + (at 159.639 84.3935 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617ea119/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R19" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 80c8f63f-d489-4297-afd3-c928598cfedf) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 91fa1469-247d-4ed0-a690-12e9418edd7a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp a90767e7-e475-4d8d-ab2e-b7beff98461d) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp a9390ab4-b165-479c-932b-1393b2141d7c)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp b6e45fbb-010b-4904-badb-ed51dedab766)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8790f096-dd05-4976-964f-9cf802eb236e)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8c76b640-b9e3-4a55-9742-eee932a1ae9f)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp c3276315-f2b4-408a-8e9b-8fbcce22c053)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp f4bd3fb8-a080-4a11-ae2f-5f47cbe55457)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 1eccc28d-9c19-4b1a-8163-f392641e4b92)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 2f386757-7994-49d9-a58e-3b9ccce74544)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 78332be2-fd8b-4f7d-b1f2-0457c4b76e00)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 7d686d92-4028-4023-b149-cfb21a6f1122)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "Net-(D11-Pad2)") (tstamp d57f6931-a23b-4c98-8299-33635a99faa8)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp abd67dce-6357-4f66-9061-77d81faf22d4)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea597) + (at 136.779 90.4875 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617ecbc3/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R21" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 13a349c3-65d0-4a0f-b0ef-e810202f71cd) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ab2e31bd-4751-4567-9f22-a808a09f8965) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 9b910e01-065c-4df5-9fbf-f091d591d87a) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 65c42ed9-0f07-4f26-b7e7-7b949d13c6e4)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp b36644a0-7c7d-4698-92cc-f7930e85009f)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8c75cb3b-3a36-4469-905f-6dabd76c38a1)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8e3ab4eb-1d94-4f2a-8001-b113e162e973)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp be5462b1-c18c-4168-a375-b29ac1a0a7bc)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e3faacfb-4f4a-4fea-afb6-2785e00fecff)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 40a42b23-225f-4de7-998e-d8de4f86088f)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 6273a63b-3bf8-4627-9fa3-011aa13b4d04)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 6d52bd6e-5e13-460f-9504-f1b16e06c515)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 72cd6dd4-1d49-4ee2-aebd-4e134eaaaaeb)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "Net-(D13-Pad2)") (tstamp a615d7e0-5119-42e8-ba2d-12b60518770a)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 7ee8734b-ba73-4e74-8003-66c835e347fb)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea5a8) + (at 136.779 85.6615 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617edff6/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R22" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 470a18df-3c2f-4a9c-a4a8-f8ceb631a8c5) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0d2b592e-5f8f-46d5-9322-3627bb392d41) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp dab4d084-a427-434c-a130-2470ae74c1a6) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp a07f9dae-ee60-430a-a543-b48af0b2d199)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp c8e4645c-9de3-41ea-b7b4-25ba4e2e031c)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 433b32cb-b852-45b7-8343-8c9d469dd649)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 6ca94a23-6d35-4a03-a67d-ab10ac934235)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a75d45fa-92b5-44b9-99a8-d38f2acae510)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp de0164d4-ea74-4b59-b3c4-8d58746ec2ea)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 2dff3153-e781-4ca8-a683-61ae194aa51a)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 54a31b78-6a4b-4037-9319-c207e6e18d79)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp c7e9292c-6ef5-474c-9450-3ea28fd0a750)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp f633cc6e-1ff9-408a-bc3c-7b307c4f7f31)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "Net-(D14-Pad2)") (tstamp f7870c22-8767-45dd-8f0e-765316fe4b36)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 4d933db2-ad39-4b36-87ba-776958ea1199)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea5b9) + (at 141.859 95.3135 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617ef7a1/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R23" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8d953b46-b5a7-401b-b7dd-8f290ed17b1a) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 867414db-e4e7-4107-b9d0-4cd0f99dd535) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp d3084f0c-b7b1-4aa5-ba5e-08797be3b355) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 0361ebe6-cab5-4830-b9db-91de296edab5)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 2378e145-210c-4eaa-8e35-f48bc6b9e10a)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 33cd5cd5-703a-49b0-bcd3-2fd93967a3b6)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 972c8d9c-e62d-4f6d-a39c-7cfc05cbdc86)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp d3748868-2f47-4446-9a90-25bfd3f1ef4b)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp fd563017-4f01-464c-9324-8f17132b1921)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 12655c25-c009-4ddc-9a26-8ce9ccf7688e)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 66fe86c8-5d97-411f-9d2d-5e061d5f0cee)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 86a3b007-8952-4e91-881d-b4c196b53fc9)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp b258490a-d984-4bbc-a476-3dbbeb77f855)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "Net-(D15-Pad2)") (tstamp 91d32614-452f-4c65-8652-0d3b8ea7d2d2)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/sheet617F0BFA/out") (tstamp 752b136b-fa39-4d38-a134-6cdbe61f6b68)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea5ca) + (at 149.225 95.3135 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617f2465/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R24" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4ba93c32-b0fc-4631-9d49-58648e79d42c) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3c90c0ee-74da-4d9b-8908-7209c6e1c6b0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 0294f0b2-a7fa-41df-8133-f9a2a7b392ef) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp c6d02ecd-c69d-4c67-be95-7d70e6168c05)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp fa1d77ae-4141-4fec-8e32-71d564340d83)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 393325c8-aba7-4055-b07e-d697e75a2510)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 3f1488b0-a380-4e79-9ce8-a2864c93dc88)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 4b3be9fd-4dbf-472b-b363-773fc5f88864)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e7f6d431-9f31-4a3b-a734-412b56d36a65)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 105553fe-2bc3-4974-935f-e543d09ae031)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 8c963cf6-f15a-43c1-85ed-415777bdb269)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp e3881d9f-31fc-40dc-98aa-f6e57ae80515)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp f4ec9490-25bc-41a5-a18a-79646181e634)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/sheet617EF79C/drain") (tstamp 4ee56775-4075-4408-9bc6-3d28f8ea1f66)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "Net-(D16-Pad1)") (tstamp eb4883c8-1722-4bea-a873-045356ef8e14)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea5db) + (at 154.305 85.6615 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617f3f28/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R25" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1eecbc2e-98dd-4a3e-9a06-dead46889e1c) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7455692d-ef2d-48ad-98cf-194f5f237304) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 6334cdfa-f1d8-4855-b9fd-fcd9bdfa1522) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 1426a9f9-eddc-48b6-b18d-0834e8f6b567)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp c228d786-528f-42a9-8d08-6ddc67395609)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 0531094a-af2c-4dec-aa35-d68b41e509d4)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 93373d0e-0040-49b2-8e0b-12fd0485ee3b)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp bb6fb879-5f70-4072-96a4-781efa5f5624)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp deaeb1c9-6575-41ce-b7e8-7db2a1d3a5f4)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 2797d97a-476b-404e-ba15-1e460d4bd4d5)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 3894bb9e-1f93-43a4-ae6b-87e7f9a1ec03)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp ed74bbd1-a228-463b-8032-729863a7ddb4)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp f9d0220b-dd07-42f0-bbf5-2e5dee364c47)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/sheet617F3F23/drain") (tstamp b25c3f37-7d38-4ef8-8d5e-f23b47779876)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "Net-(D17-Pad1)") (tstamp 9e058177-1a5f-4235-9c1b-6733da99698b)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea5ec) + (at 154.305 90.4875 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617f542f/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R26" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 30d17830-f3a0-44b0-85f5-648566ff96ed) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9d2c609c-b0e6-4bca-8076-9617dae73780) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 613b72cd-eff0-4706-a54a-fa6be4a8a324) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 643d2fc1-11d2-43dc-8208-6f67a1af5dca)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp aef79691-c183-4227-94c6-b5c220df7d0e)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 7cb5333d-626a-42a2-89b4-5db731c8ede5)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 99a76fb1-cc01-4db0-8eee-7d02ef6cd813)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp eead8403-5834-4f57-86e8-7ef8005a4bf5)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp eece7144-845c-417e-956d-2d9d3d528104)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 90b5ce67-7283-4d48-9a6c-2af7b6eec654)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp e32ccb4a-636a-4948-bc8f-666509d5a2da)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp f8fdb4b0-436a-4542-b202-ad2943b86300)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp fb396beb-f213-48a6-bbb2-320422bafe0b)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/sheet617F3F23/drain") (tstamp 5741210f-e705-426a-a6a5-1ce128e714c0)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "Net-(D18-Pad1)") (tstamp 7a88176f-0da8-48ef-85d7-70074899b25a)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea5fd) + (at 154.305 95.3135 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617f68da/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R27" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dcff3a87-45a3-436c-8767-ae9fc19cf4cc) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4169621f-9903-4dcc-80e7-9514cdf6270e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 6b782aef-512f-45c9-a449-b0151f5c3bd3) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 501be8dc-7648-4717-a64f-e537541c3ecc)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp ad1a9d80-4dbc-4eac-ac6f-c43657279a28)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 08b84cdf-13af-4be9-9ab3-9cdb1fa002dc)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp beac945a-0995-4a76-8af9-35c8ed5ab86b)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp c0592262-9440-4112-aed8-41e74837506f)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp f74b17f3-2d7c-4b45-9a97-99b4d2343134)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp c14c768e-4320-4c1d-878f-b7e329135db8)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp f54435c3-281b-42bd-bb2d-ef876028f4bc)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp fb4c2093-a5bb-4cef-aea2-1797509db41c)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp fdd49e0d-f2d1-4b09-a468-38698d0a1017)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/sheet617F3F23/drain") (tstamp c71e2d52-caf0-4179-8cc6-a1fbaebc2df3)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "Net-(D19-Pad1)") (tstamp fb22820c-2a7a-4c68-9e9d-1d8d4fb65fc3)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea61f) + (at 136.779 80.8355 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006188a626/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R29" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3b4147e7-9e31-4a8f-9d99-6958da5b8a75) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8b53c160-dab5-4c21-88a7-655afc860dcd) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 48778aaf-f955-4af9-9a91-8a8a0ef6aa53) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 5b3b3e59-957d-414c-a865-57148963371d)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 8f4ffdc4-9e66-41bb-84a4-b1803a1dfeaf)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 3380483c-150a-47da-9b93-a2a79846f0cb)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 5f7d50bd-3084-4b7f-b215-4d9f19f7aeea)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 7f710568-5725-488b-b6ce-52a05a06db7b)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp bd50522c-8783-4c9e-8ce5-313d2ca158ee)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 0f441ee4-346f-4a1a-8f0c-fb38bd5e1e36)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 517d0bc7-78a9-49db-9fbe-504a43a1eb94)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 8ac5dbf9-fcf8-49fe-96e9-5735814fb350)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 8d3cae74-81d1-4b12-91a5-da2879fc1f99)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "Net-(D21-Pad2)") (tstamp 314de170-b8f3-4aba-923f-a28ea31e561b)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/sheet6188A621/source") (tstamp bcd09124-39f2-4dee-bc88-9e94e1bd05b8)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea630) + (at 141.859 85.6615 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006188f5bd/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R30" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9b485c52-7364-41a9-a72c-afe47040e0b7) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7ce3eb33-dc0f-4390-9a42-11522c2027d1) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp d2080506-fa1b-42dc-b56a-72d0356ef259) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 0fe2c5db-00fa-4c72-b79b-0d362e1711ad)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 74ff5321-8da4-418f-809f-a3931227bc4c)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1d9862b1-1fad-4a96-a5e9-87056a907fdc)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 585b4927-7d96-4e08-8469-674ab7215623)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 6f05f7df-e63d-4cbf-bd1c-02c27e7dee7a)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp e62a3108-5749-4ef1-bf0a-91581fa00ac7)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 20b12f3d-21ce-4594-90d2-3e403edd9c58)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 721128aa-0d28-47fd-b73d-54407d37f4b6)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 9b3a474c-d4b7-4893-9ef0-c55e90b07707)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp c09a59ad-f387-4611-89e3-e3374ca94ac2)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "Net-(D22-Pad2)") (tstamp 1be45331-32cb-477e-9402-a2acc28f7463)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/sheet6189E1B4/out") (tstamp 8ff780f7-774e-41b8-bbac-6f1037d2ce87)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea641) + (at 141.859 80.8355 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006189461a/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R31" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 68f64fd4-b92b-44ff-bfc5-4894e4191274) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4e75a62e-8648-4131-9f30-de77cde61e6a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 004366ec-6568-4877-bf0d-b57947a47f23) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 124a55b9-8562-4f88-ba49-e50f0040f598)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp b33aa01a-8429-4268-9d22-89eb8ea37d2b)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 3ac2962d-003b-4cc7-862a-1fd3c9cd2ce3)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 603f87cd-b4cb-4c19-b1fe-909f5024ab84)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp ad47fda5-0561-4822-8e80-7511036eadac)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp bb77cecb-01ea-4b0a-9bfa-e841cfffd73d)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 1655cdfc-106e-4b76-bafb-400dcc92ed0d)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 1b1ae402-8169-47cc-bc76-a191721d3b82)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 20de1dc9-512c-49e5-9ed6-74d497586ea5)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 7e2679f5-f593-413d-af3f-0fd87b17f785)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "Net-(D23-Pad2)") (tstamp fa624137-bae4-4978-a792-0dcb550fe0ab)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/sheet618AD770/out") (tstamp fe6a78d9-de32-4e7e-ba5a-805e86933f0b)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea674) + (at 154.305 80.8355 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-000061902305/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R34" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ad9110e9-7160-4afd-8608-48b8ae2ae2ae) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fb4eb4e2-14db-4995-bd93-2621bbbbbad3) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp c2085a93-3615-4eda-b5b5-fd78f4002d7d) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 245a8321-af81-45a2-9889-f03c15455fec)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 8f78a931-c135-499c-a0d4-fc8b0d00003e)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1cd18e22-c8b0-46c7-bb01-fa1f480f63e7)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 48c5994e-0de5-4f10-8e1a-397ba573b288)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 5669dae0-1795-4ea6-a676-49c9f91c8bc8)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 58d02ed6-deac-4ace-b524-711642929482)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 2643442a-f8a6-41d9-b3dc-7f190062fde5)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 3e4accdd-4cb6-41cb-a3f9-ca213ab0880e)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 73930689-0d56-45e5-88bd-fb1d4052b848)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 9788c62d-3150-426b-9104-a6654885ee98)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/sheet619022FB/drain") (tstamp 1aa2b936-92ab-4f03-88bf-7eaf660da9fd)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "Net-(D26-Pad1)") (tstamp 0e6f543f-14ca-4756-ae89-ce31a11c8c53)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea685) + (at 130.937 84.3915 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006196c125/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R35" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b0e02635-86e2-454b-a1d9-1000c1b8cd5b) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 47931797-cb6a-47de-b5d1-cd2136fa134a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 5dd80946-2029-4ffa-8f13-b1e4bf719f2f) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp a558db9b-0d94-4373-846a-a72271ed5a7c)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp d93428b7-911c-456d-909e-44bb4fe19fe1)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 48af315a-4a05-46b6-a5c1-78f69ae765e0)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8380dca1-e180-4065-b8da-a0a4313a1de2)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp a30e6457-ef24-4cc0-9b8f-497e07a65ed5)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e292976a-bea9-4af5-a1b9-4f9dbb430349)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp aece84f6-0348-4779-8735-4b5a2308dc19)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp e036c621-5414-46c3-9938-0fe0f1ed5ebf)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp e38ffb06-5ee0-4616-b2df-c46e2d4acfef)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp e94c8c72-b4f2-454f-8830-14c2d3ff3ffb)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "Net-(D27-Pad2)") (tstamp 65964315-2299-442b-b1ba-a252f3f3da3d)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 64dbd141-e4f0-458b-8717-7075c2cdc42f)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea696) + (at 130.937 82.1055 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006196c12a/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R36" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 603ccf69-a88c-4ede-b094-a0ddaaa12f98) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp db9650fb-44f9-497e-ab83-38f365df2c04) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp a65579ef-da50-4855-b1fb-9e496845dd35) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 7b50b915-d21c-4393-8dd8-96bf082f73b2)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp bc1746ae-0940-4e46-a723-6aa35f0ee6c3)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 258fce15-02cb-4395-b923-6ec4f1ed0b51)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 5feafebc-b5aa-4dab-bc0d-c457eabc6db0)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a0eeccef-de6c-42d8-a328-d0388384356c)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp a675166e-13a8-4baa-8e5c-54e5e40e77ac)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 10a6817f-5cd6-4461-a6c9-84203542f0db)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 59b1a8dc-4ef1-4481-8cde-62789efc71c6)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 67120696-ff00-4c71-8a22-3d6fecccb593)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 6a15edf8-91a3-44ad-b460-2721eaef1784)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/sheet6196C11E/drain") (tstamp 0d73b7aa-f1f3-4388-973c-933047800415)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "Net-(D28-Pad1)") (tstamp fd302b4f-7f3e-479e-8fd6-56db393d6e0b)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ebb58) + (at 136.779 95.3135 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000617eb758/00000000-0000-0000-0000-0000617f3a76") + (attr smd) + (fp_text reference "R20" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1ec1e219-42b5-45b0-bf1b-30fd01c5f7b4) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e9a3561f-1e99-4a06-9796-5c71590b24c1) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp f5958bf5-6689-42d1-b187-0c6e85da3521) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp d363f2e2-7ed5-4e14-a7d4-b4af0fbc8096)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp dbf74c35-211a-4f81-99f4-057e34e3ecd1)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 0a2b1bef-2511-4093-9d63-68c5e9ada70a)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 3323a920-6cec-43a8-8b0b-1857fa38cad2)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 586e1bba-bc37-4b49-9099-16738edbad0f)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp a4f1c090-0d13-4c7c-b0f7-094457b48d54)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 26c9b6b4-ee16-4235-abc5-7e04949e0d2f)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 37a2767c-7285-4bb7-ab1d-988c4b1fb47d)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 7fdf2324-99f1-4fc5-9f94-91b166c013ef)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp e82c9081-90f5-4d0d-93c6-8079bb3da865)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "Net-(D12-Pad2)") (tstamp 26e11421-5bba-4beb-ba63-2965daf6a204)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp e8da5419-e386-4e68-9bb3-84a1ac9bcea9)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617ebea0) + (at 140.104 95.8215) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000617ef7a1/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D15" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ac69a27a-5533-4172-887c-8f519b339293) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ba8ad876-6f8e-4cc1-a870-1cd659985d01) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp dcbfec4a-0b9b-4fa0-b1d8-bf92cc24a928) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 83186826-68b0-44a1-bd45-3e557359c4b2)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 23a104fa-b5a0-4467-a13a-63681a356a5d)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp c2e8037e-17ab-4602-965b-d9bc54ce4955)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp c85c8ea7-ffca-4799-8fbd-c3b5e4055430)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e2648c23-7e16-43a3-8772-022d8f0d6441)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 38786406-a78c-4b04-b676-c4e53ff6ec60)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 3a7a418c-0162-4cb2-9215-c5d2c9f12884)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 4a29b039-25a7-4714-91d8-48e38d11cde9)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 8f5651f1-e72f-480c-9f1f-fc30e1732914)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 980719bd-080a-40b3-b8b7-2c6166678756)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp daced959-15b5-4f03-9fd0-8f2e9316a592)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/Coutinv") (tstamp 3e2c5f66-cf86-44f9-822b-1134b39cafc3)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "Net-(D15-Pad2)") (tstamp e5ca8dd4-6b0e-4d24-8d86-cfaf9c5af9e8)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ec09d) + (at 159.639 82.1035 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006180e2ae/00000000-0000-0000-0000-0000618000c0") + (attr smd) + (fp_text reference "R28" (at 0 -1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 38ba6177-676a-4ad1-af2a-d41b506a675f) + ) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 55706c18-9b9c-4708-b037-e3299be9beeb) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 27a73e16-3653-435c-8743-655a3ed817ea) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp adb4bb83-5128-4965-9f6b-c8229c6a5e9c)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp bead1503-076b-409a-9f7d-49cdcf388cb8)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 64c1bd2d-97ef-4a98-aedd-66c3d471776f)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 794980ca-21e0-4b4e-ab0b-48bb177695df)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 9d4b44d6-778c-46bf-b553-79bf97604584)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp b87198c1-2faf-48c6-873b-cf97b9047cea)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 2b82184d-b4c6-4fec-9af6-79dbf295b128)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 725aa343-9d5d-43cd-bb63-5911d3ae78dd)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 92c7af74-cbad-41ee-9b43-7570ede7e52b)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp d1624849-902e-4eed-9be8-eb2f5859eff7)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/sheet617EA114/drain") (tstamp d92df382-236a-430d-9dc4-b99c51dfa75f)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "Net-(D20-Pad1)") (tstamp 148c8231-0fc5-4ee9-b40c-a867ddd4a447)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ec0cf) + (at 157.861 80.8355 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-00006180e2ae/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q20" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4d120de7-0184-4396-ba9d-2699c52c1347) + ) + (fp_text value "N" (at 0.508 -1.778 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 789ebb31-ee9f-4207-949d-68c839584723) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 7c8e74f7-4f8d-462f-b3b1-d263d17d00ef) + ) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 1963c4cc-a988-49d5-91e0-51b24f3e500a)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp b3cc3120-aed1-452f-9db1-deb8ba0e8c48)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 1955f8f3-3de6-4b9d-bbe3-03823d3a07af)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 5d1fa0f1-4171-41d8-b358-1f63d94c71dc)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 8d485ff5-dc9a-4285-985a-7f34382b87f2)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 9b10b2f7-2935-46d9-b279-7fe29ecb3713)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 2b8318d1-9db2-4ee7-9d32-bf1d6bd3ced5)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 5d3be81f-857b-4826-a9c9-3feff9bb8853)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 633c34ca-571a-4df2-8b2e-c93ce1e55def)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 6da76304-4a2e-42ae-8b95-0055870560be)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp e02fb5fb-e31f-47e9-a26b-c25f090f9320)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "/Coutinv") (tstamp baf83e7e-cd6f-4a61-a357-be27d2dd62d5)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 47 "/sheet617EA114/drain") (tstamp 5ff42536-6a15-4037-9c2d-65fe9c71827e)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (tstamp e5171541-da74-4973-90ae-f186f91202c1)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617ec104) + (at 157.861 82.6135) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-00006180e2ae/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D20" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2ab341f1-9db2-4947-9d9c-3edc6c7b671e) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9324057a-d959-4f5f-a421-ac13fc44b385) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp da6b3ce3-938a-4438-8523-510d914db6f3) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp afee850b-6974-4794-a689-25d918c33893)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1286867a-2cb1-46ff-8466-9b9b272f333c)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 39e6c9ba-1067-4527-8cb5-68f55aea2c26)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 611743a9-e938-4ad1-9501-87242ae6a361)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e4e74fde-252d-4a72-8132-1dc030f4b07a)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 12a200bb-5ad2-4467-91bf-cf875d0f5538)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 3013e4b2-71dd-4bd4-a1ed-698d2ef69917)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 54b37b3e-783a-4578-9d11-18d6fb49693e)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 69da3d72-110e-4db2-8dff-f738a4ceb7ce)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp b41e9bd3-c91b-40c9-83be-84b8161079cd)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp cd3fe2a3-3c20-4c54-bec7-917b0aaa71b7)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "Net-(D20-Pad1)") (tstamp 8a98133f-043f-4832-a4d2-e4dd95d71e08)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/Coutinv") (tstamp db4d69bb-eaf3-4905-b45a-162de73e53cc)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617ee550) + (at 147.447 81.3435) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-0000618f6378/00000000-0000-0000-0000-0000617ff64c") + (attr smd) + (fp_text reference "D24" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2eaa28c1-8d1c-4692-9d83-67dc0bc8e007) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 730334a4-8db8-4c9d-a8d9-7d75de1c7e52) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 8b99b28e-bae5-41c9-ac57-56405278f889) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 549af769-cde1-447d-993e-bf96289d91d4)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 845bfed7-681f-4b3c-b620-e7f9f97a1f1b)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp b54de197-d694-4227-9784-7b99fc83abd1)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e3e18d3f-1aeb-4483-bcbd-7aeb60ddcbf8)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp f6dca3f6-00f0-45f8-9c7b-72485148d4f9)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 534a402f-97ba-405f-a1c5-0bd268c99799)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 9b6d94d9-8edc-411f-8bc0-55e437f2b761)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp a7f26ac5-176a-4a0a-a28f-d6d9aa899ba9)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp c842e6d0-45a7-4b26-b1d1-9b145c77b34f)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp cec4b915-8ab2-4f8c-b1f0-3f49d3b92a7b)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp eb562ba3-cf1a-457e-9f87-1915b56bdf05)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "Net-(D24-Pad1)") (tstamp a2a328ac-36e0-439f-83bf-3287493ae5a3)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/Cin") (tstamp 5435cb3e-37c0-43ba-8962-470a69cfad97)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ee7ac) + (at 152.527 94.0435 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617f68da/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q19" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 596fe7b3-1818-46a1-be29-0ed8818758a3) + ) + (fp_text value "N" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9b3f7954-7e0a-46fc-b422-f42c1dd027b7) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp ba496093-6576-4ef5-a107-fe7aaa3a4c9f) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 797ce6bf-5415-402d-936e-745f71ce546d)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp c5587031-c586-4620-ae66-b7d07690103d)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 1bde02ce-e2f4-4494-b99b-38541b321cf5)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 5d31052c-d3e8-4822-9a1e-faef46e64b53)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 61f65b55-e723-4c05-936d-b5208c8f19a2)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp b9d9b946-e1f1-4780-bbd2-23600f1b200d)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 02048188-f7de-4ad2-aef9-253088909f70)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 0ac2cc52-cf29-48e1-8100-5b2eb6302a8d)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 65d37790-8e9a-4502-a9ca-1722085ef2ce)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 72a2773c-c939-47c5-9005-8810eb83dbe8)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp b1057230-5ecf-4eff-9dc9-0221199b45a0)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "/A") (tstamp 2ebe003e-f615-4ddf-b2ba-441e9d87c6df)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "/sheet617F3F23/drain") (tstamp ba08a8f4-c641-42a5-b4e8-3ba8740e867f)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (tstamp b02f8a40-1075-4548-be6a-34a6d8beb36a)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617ee8a3) + (at 152.527 89.2175 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617f542f/00000000-0000-0000-0000-0000617fd6ec") + (attr smd) + (fp_text reference "Q18" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fc2036c4-42ac-4b40-8061-f2378fc623d4) + ) + (fp_text value "N" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 218e3963-b735-4c6d-9c9b-d484598a349e) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 024a59ad-3452-419d-9ca0-836eee23ab46) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 2a1581ee-bdd9-40ae-9315-8367d68d7df8)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp c6ee7d61-c513-4b6d-8545-493499a29fb5)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 6426109c-3142-4a11-bafa-e98e7baffb24)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp be57dd32-781a-40b9-aaa0-a87f57e10f0f)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp f0648882-56fd-40de-838e-49830509ec32)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp f6b7b0d2-89bb-4739-b0a5-db56fe12fb17)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 0bc675ac-83b8-470e-923a-ae5705605a16)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 2d948b33-31d9-41e8-aa92-3a3a9ece0e30)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp 2ec6b20f-789e-4439-b0c9-8dc6c61c56b9)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 5bf11b79-9c19-41a8-b804-714ad429210d)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp 766c73b4-4403-4d37-987b-cc8ff11df87c)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/B") (tstamp 51780340-5f9f-461a-9822-d4d99a1ebcbf)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "/sheet617F3F23/drain") (tstamp 2fbe18d2-5a57-4c3c-aae9-883d7daf1665)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (tstamp c3f1ed84-64d7-4ff0-a39e-5e3d352e0c81)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617eec4c) + (at 135.001 79.5655 90) + (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") + (tags "SOT-523") + (path "/00000000-0000-0000-0000-00006188a626/00000000-0000-0000-0000-00006181bdcd") + (attr smd) + (fp_text reference "Q21" (at 0 -1.7 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b9d85aac-186c-41c2-9b1f-c3d4cb142317) + ) + (fp_text value "P" (at 0 1.75 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ecd5367f-1637-4050-9b34-443008e8b7ed) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp 21db8824-bb51-4546-959c-016d4528571c) + ) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp 1355252c-6830-4802-8470-e3f871d6ef59)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp e90c4883-d31f-4d15-ad86-bb8f9f2e836f)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 45f1f879-a935-4a22-b159-e204245423c0)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 619462ea-df68-495e-8cd4-6b11865f0a29)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 8f7dcb85-c017-4e13-aeac-09ce5a6ce842)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp cded06c2-c07e-4c8a-9ddc-43152dc7670d)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 70026d82-0d2b-4018-8398-8a25bd44d193)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 9a735bc7-06f3-458e-9131-873256cb5c09)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp c0a6bf07-ea76-42be-bf48-9e9e5cc2be21)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp cbadee2d-baff-47e6-bccf-0dccfb00ca97)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp d07772b5-ea04-4077-b044-2365a6e880d7)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "/A") (tstamp 50072cf4-4066-4152-9781-bccdee55dbb2)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 53 "/sheet6188A621/source") (tstamp 0e1505fa-91cd-4255-9c3e-04689b9721ff)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 54 "/sheet6188A621/drain") (tstamp 3eff4c00-74eb-4d3f-be90-d72a541aa466)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617eed1d) + (at 135.001 81.3435) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-00006188a626/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D21" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6e4cfefe-6747-4b14-bb65-1183ec674c73) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a08dfcc3-7477-41c1-9a24-ead7c8e8f126) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 77840f33-2694-4664-9240-b66ef3e905ca) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp 2da3ede8-631c-4fcb-b3c4-f9ef2ecd9784)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 38126e09-e555-4841-bc4c-d35737c9fe48)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 99a3dd2f-fc10-479f-8331-88abe150e81c)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp d6159c78-5906-4d59-b66c-c4902dcc3db9)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp f39cb916-f0e0-4f80-8ae0-6c65d2fa880e)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 115cdfd7-d36c-46ec-9962-4655a25fc5b0)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 1ff337a8-4bc0-4491-979d-2f093e67b898)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 24450f61-b80e-436a-a10e-d664b97ff6a4)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp 33708fdf-2f04-4eed-806e-5f9428739572)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp 63feed26-60b1-44e9-99c6-82884af24ed0)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp f85a87d4-da49-4ea9-85e3-f92ae6d3e3c9)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A") (tstamp 78ec1df4-6eab-4ab7-a104-301d876996b4)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "Net-(D21-Pad2)") (tstamp 3f78f7c9-eb82-4d0d-8159-f49ef2c48bcb)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEF1) (tstamp 00000000-0000-0000-0000-0000617eee2b) + (at 140.081 86.1695) + (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (path "/00000000-0000-0000-0000-00006188f5bd/00000000-0000-0000-0000-0000617f3a70") + (attr smd) + (fp_text reference "D22" (at 0 -1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f42aa7f2-cb8b-47e9-93fa-54e4b3aff1db) + ) + (fp_text value "L" (at 0 1.016 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 443b66d2-87bd-4942-a5a7-7828a6ded67b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.25 0.25) (thickness 0.04))) + (tstamp 0de09843-e330-4c5d-aa90-be08cdcfc4a8) + ) + (fp_circle (center -1.09 0) (end -1.04 0) (layer "F.SilkS") (width 0.1) (fill none) (tstamp c904c05b-d2d8-4ef1-b1f4-b9b697d3e994)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 5d7e1099-ad4f-47ab-9101-ed34e0844127)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 5e4c5740-0f96-43d5-ae20-6aa7a3a640af)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 8f5488e3-a0ea-4cba-b16d-f1e67e538888)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp e917ce06-1340-4af2-bd0e-38330180ef10)) + (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 11aa5fcc-cfde-44f7-9cf0-377c51ebffd2)) + (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer "F.Fab") (width 0.1) (tstamp c5e57aa0-d5f9-4d71-8c18-7fad524cc037)) + (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp d6464c08-aea0-44a2-a487-dce357c083bd)) + (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer "F.Fab") (width 0.1) (tstamp ddb87322-316f-4ac2-8eea-f65298505bc1)) + (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp e5c76be5-acef-4df0-8874-29186c588bc3)) + (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp e63bd85f-33d9-494e-89a0-038a348a5fd2)) + (pad "1" smd roundrect locked (at -0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/B") (tstamp d0270a32-942c-48d8-8a78-0af04202b864)) + (pad "2" smd roundrect locked (at 0.485 0) (size 0.59 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "Net-(D22-Pad2)") (tstamp b3853836-563c-4739-9565-00abb905429a)) + (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "adder:AlignmentHole_3.2mm_M3" (layer "F.Cu") + (tedit 6184EC98) (tstamp 00000000-0000-0000-0000-0000617ef294) (at 157.099 116.1415 90) (descr "Mounting Hole 3.2mm, no annular, M3") (tags "mounting hole 3.2mm no annular m3") - (path /617F4EAF) - (attr virtual) - (fp_text reference H2 (at 0 -4.2 90) (layer F.Fab) + (path "/00000000-0000-0000-0000-0000617f4eaf") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "H2" (at 0 -4.2 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp d4ef0fb3-bda8-4b4e-b24f-55d0480c77c8) ) - (fp_text value MountingHole_Pad (at 0 4.2 90) (layer F.Fab) + (fp_text value "MountingHole_Pad" (at 0 4.2 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0ba5c284-fbd1-4fda-bf58-379d293c947c) ) - (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15)) - (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0.3 0 90) (layer F.Fab) + (fp_text user "${REFERENCE}" (at 0.3 0 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 80e7f902-ba95-4c00-8d03-61f7f26c7d38) ) - (pad "" np_thru_hole circle (at 0 0 90) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Paste *.Mask)) + (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp a0ec3597-a3f6-44ca-a9e2-41c6dc8becc8)) + (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 1a56a1d1-b13f-4cf9-9e4f-0ba81248a86e)) + (pad "" np_thru_hole circle locked (at 0 0 90) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Paste *.Mask) (tstamp ade8d7b2-8f05-4624-947d-9d4fcde2fd83)) ) - (module adder:AlignmentHole_3.2mm_M3 (layer F.Cu) (tedit 6184EC98) (tstamp 617EF2A9) + (footprint "adder:AlignmentHole_3.2mm_M3" (layer "F.Cu") + (tedit 6184EC98) (tstamp 00000000-0000-0000-0000-0000617ef2a9) (at 130.429 116.1415 90) (descr "Mounting Hole 3.2mm, no annular, M3") (tags "mounting hole 3.2mm no annular m3") - (path /617F592E) - (attr virtual) - (fp_text reference H1 (at 0 -4.2 90) (layer F.Fab) + (path "/00000000-0000-0000-0000-0000617f592e") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "H1" (at 0 -4.2 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp d3a351ae-f385-42c1-95c2-0ed4062f6c0d) ) - (fp_text value MountingHole_Pad (at 0 4.2 90) (layer F.Fab) + (fp_text value "MountingHole_Pad" (at 0 4.2 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp a61dff04-be53-469e-96b0-99f4d743694a) ) - (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15)) - (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0.3 0 90) (layer F.Fab) + (fp_text user "${REFERENCE}" (at 0.3 0 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp cbdca1bd-b360-450e-ae76-1b0f98fbd1d3) ) - (pad "" np_thru_hole circle (at 0 0 90) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Paste *.Mask)) + (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp 49401923-8260-41b1-957a-7970717ec49b)) + (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 68ef4256-bce4-4985-8071-a653216af552)) + (pad "" np_thru_hole circle locked (at 0 0 90) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Paste *.Mask) (tstamp 27660396-f0e7-4d5a-9ccd-5ed24a1b839d)) ) - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617EA888) - (at 129.413 90.6755 90) - (descr "SOT-353, SC-70-5") - (tags "SOT-353 SC-70-5") - (path /6196C12E/617F83E0) - (attr smd) - (fp_text reference U15 (at 0 2 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value B (at 0 -2 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) - ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 62 /sheet6196C11E/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617EA873) - (at 151.765 80.3275 90) - (descr "SOT-353, SC-70-5") - (tags "SOT-353 SC-70-5") - (path /61921364/617F83E0) - (attr smd) - (fp_text reference U14 (at 0 2 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value B (at 0 -2 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) - ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 61 /sheet619022FB/drain)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 60 /sheet61921360/in)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617F8956) - (at 146.939 80.0735 90) - (descr "SOT-353, SC-70-5") - (tags "SOT-353 SC-70-5") - (path /6191B1A4/617F83E0) - (attr smd) - (fp_text reference U13 (at 0 2 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value B (at 0 -2 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) - ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 59 /sheet619022FA/drain)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 58 /sheet6191B1A0/in)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617EA849) - (at 143.383 89.7255 90) - (descr "SOT-353, SC-70-5") - (tags "SOT-353 SC-70-5") - (path /618BDD8A/617F83E0) - (attr smd) - (fp_text reference U12 (at 0 2 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value B (at 0 -2 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) - ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 44 /Sinv)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 50 /sheet617EF79C/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617EA834) - (at 139.827 80.0735 90) - (descr "SOT-353, SC-70-5") - (tags "SOT-353 SC-70-5") - (path /618AD774/617F83E0) - (attr smd) - (fp_text reference U11 (at 0 2 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value B (at 0 -2 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) - ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 57 /sheet618AD770/out)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 56 /sheet6188F5B8/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617EFA6E) - (at 135.001 80.0735 90) - (descr "SOT-353, SC-70-5") - (tags "SOT-353 SC-70-5") - (path /6189E1B8/617F83E0) - (attr smd) - (fp_text reference U10 (at 0 2 270) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value B (at 0 -2 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) - ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 55 /sheet6189E1B4/out)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 54 /sheet6188A621/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617EA7F5) - (at 147.193 89.7255 90) - (descr "SOT-353, SC-70-5") - (tags "SOT-353 SC-70-5") - (path /61827E85/617F83E0) - (attr smd) - (fp_text reference U8 (at 0 2 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value B (at 0 -2 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) - ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 51 /sheet61827E81/out)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 52 /sheet617F3F23/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617EA7E0) - (at 156.845 90.2335 90) - (descr "SOT-353, SC-70-5") - (tags "SOT-353 SC-70-5") - (path /6180F852/617F83E0) - (attr smd) - (fp_text reference U7 (at 0 2 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value B (at 0 -2 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) - ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 46 /Cout)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 47 /sheet617EA114/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617EA7CB) - (at 139.827 89.7255 90) - (descr "SOT-353, SC-70-5") - (tags "SOT-353 SC-70-5") - (path /617F0BFE/617F83E0) - (attr smd) - (fp_text reference U6 (at 0 2 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value B (at 0 -2 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) - ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 49 /sheet617F0BFA/out)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 48 /sheet617EB753/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA696) - (at 130.937 82.1055 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6196C12A/618000C0) - (attr smd) - (fp_text reference R36 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 45 "Net-(D28-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /sheet6196C11E/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA685) - (at 130.937 84.3915 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6196C125/617F3A76) - (attr smd) - (fp_text reference R35 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 43 "Net-(D27-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA674) - (at 154.305 80.8355 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /61902305/618000C0) - (attr smd) - (fp_text reference R34 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 42 "Net-(D26-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 61 /sheet619022FB/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617F898E) - (at 148.971 85.6615 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /61902300/618000C0) - (attr smd) - (fp_text reference R33 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 "Net-(D25-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 59 /sheet619022FA/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617F89BE) - (at 149.225 80.8355 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /618F6378/618000C0) - (attr smd) - (fp_text reference R32 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 40 "Net-(D24-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /sheet617EF79C/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA641) - (at 141.859 80.8355 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6189461A/617F3A76) - (attr smd) - (fp_text reference R31 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 57 /sheet618AD770/out)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 39 "Net-(D23-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA630) - (at 141.859 85.6615 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6188F5BD/617F3A76) - (attr smd) - (fp_text reference R30 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 55 /sheet6189E1B4/out)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 38 "Net-(D22-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA61F) - (at 136.779 80.8355 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6188A626/617F3A76) - (attr smd) - (fp_text reference R29 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 53 /sheet6188A621/source)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 "Net-(D21-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EC09D) - (at 159.639 82.1035 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6180E2AE/618000C0) - (attr smd) - (fp_text reference R28 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 "Net-(D20-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 47 /sheet617EA114/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA5FD) - (at 154.305 95.3135 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617F68DA/618000C0) - (attr smd) - (fp_text reference R27 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 "Net-(D19-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /sheet617F3F23/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA5EC) - (at 154.305 90.4875 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617F542F/618000C0) - (attr smd) - (fp_text reference R26 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 "Net-(D18-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /sheet617F3F23/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA5DB) - (at 154.305 85.6615 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617F3F28/618000C0) - (attr smd) - (fp_text reference R25 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 "Net-(D17-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /sheet617F3F23/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA5CA) - (at 149.225 95.3135 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617F2465/618000C0) - (attr smd) - (fp_text reference R24 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 "Net-(D16-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /sheet617EF79C/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA5B9) - (at 141.859 95.3135 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617EF7A1/617F3A76) - (attr smd) - (fp_text reference R23 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 /sheet617F0BFA/out)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 "Net-(D15-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA5A8) - (at 136.779 85.6615 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617EDFF6/617F3A76) - (attr smd) - (fp_text reference R22 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 "Net-(D14-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA597) - (at 136.779 90.4875 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617ECBC3/617F3A76) - (attr smd) - (fp_text reference R21 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 "Net-(D13-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EBB58) - (at 136.779 95.3135 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617EB758/617F3A76) - (attr smd) - (fp_text reference R20 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 "Net-(D12-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617EA575) - (at 159.639 84.3935 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617EA119/617F3A76) - (attr smd) - (fp_text reference R19 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 26 "Net-(D11-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 617EA564) - (at 154.305 79.8195 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6194FF3B) - (attr smd) - (fp_text reference R18 (at 0 1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value 10k (at 0 -1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 61 /sheet619022FB/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 617EA553) - (at 147.447 82.6135) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /61950579) - (attr smd) - (fp_text reference R17 (at 0 1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value 10k (at 0 -1.17) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) - ) - (pad 2 smd roundrect (at 0.51 0) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 59 /sheet619022FA/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 617EA542) - (at 140.081 83.1215) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /618C9D18) - (attr smd) - (fp_text reference R16 (at 0 1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value 10k (at 0 -1.17) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) - ) - (pad 2 smd roundrect (at 0.51 0) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 56 /sheet6188F5B8/drain)) - (pad 1 smd roundrect (at -0.51 0) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 617EA531) - (at 135.001 82.3595) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /618C9560) - (attr smd) - (fp_text reference R15 (at 0 1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value 10k (at 0 -1.17) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) - ) - (pad 2 smd roundrect (at 0.51 0) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 54 /sheet6188A621/drain)) - (pad 1 smd roundrect (at -0.51 0) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 617EA520) - (at 154.051 100.1395 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6184A7D3) - (attr smd) - (fp_text reference R14 (at 0 1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value 10k (at 0 -1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 24 /sheet618020C7/drain)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 617EA50F) - (at 139.827 99.3775 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /61844A85) - (attr smd) - (fp_text reference R13 (at 0 1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value 10k (at 0 -1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 17 /Sheet617F49C8/drain)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 617EA4FE) - (at 154.051 103.6955 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6184A110) - (attr smd) - (fp_text reference R12 (at 0 1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value 10k (at 0 -1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 23 /sheet61801675/drain)) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 617EA4ED) - (at 134.493 108.5215 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /61844219) - (attr smd) - (fp_text reference R11 (at 0 1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_text value 10k (at 0 -1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - ) - (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer B.Fab) (width 0.1)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer B.SilkS) (width 0.12)) - (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer B.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) - ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) - (net 16 /Sheet617F1E56/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA39C) - (at 129.175 80.8355 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /6196C12A/617FD6EC) - (attr smd) - (fp_text reference Q28 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 62 /sheet6196C11E/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 44 /Sinv)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA389) - (at 129.157 85.7235 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /6196C125/6181BDCD) - (attr smd) - (fp_text reference Q27 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 2 VCC)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 62 /sheet6196C11E/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 44 /Sinv)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA376) - (at 152.527 79.5655 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /61902305/617FD6EC) - (attr smd) - (fp_text reference Q26 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 61 /sheet619022FB/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 3 /A)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA363) - (at 147.447 84.3915 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /61902300/617FD6EC) - (attr smd) - (fp_text reference Q25 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 59 /sheet619022FA/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 60 /sheet61921360/in)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 5 /B)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA350) - (at 147.447 79.5655 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /618F6378/617FD6EC) - (attr smd) - (fp_text reference Q24 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 50 /sheet617EF79C/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 58 /sheet6191B1A0/in)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 4 /Cin)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA33D) - (at 140.081 79.5655 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /6189461A/6181BDCD) - (attr smd) - (fp_text reference Q23 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 57 /sheet618AD770/out)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 50 /sheet617EF79C/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 4 /Cin)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA32A) - (at 140.081 84.3915 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /6188F5BD/6181BDCD) - (attr smd) - (fp_text reference Q22 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 55 /sheet6189E1B4/out)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 56 /sheet6188F5B8/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 5 /B)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EEC4C) - (at 135.001 79.5655 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /6188A626/6181BDCD) - (attr smd) - (fp_text reference Q21 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 53 /sheet6188A621/source)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 54 /sheet6188A621/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 3 /A)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EC0CF) - (at 157.861 80.8355 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /6180E2AE/617FD6EC) - (attr smd) - (fp_text reference Q20 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0.508 -1.778 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 47 /sheet617EA114/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 27 /Coutinv)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EE7AC) - (at 152.527 94.0435 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617F68DA/617FD6EC) - (attr smd) - (fp_text reference Q19 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 52 /sheet617F3F23/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 3 /A)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EE8A3) - (at 152.527 89.2175 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617F542F/617FD6EC) - (attr smd) - (fp_text reference Q18 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 52 /sheet617F3F23/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 5 /B)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA2CB) - (at 152.527 84.3915 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617F3F28/617FD6EC) - (attr smd) - (fp_text reference Q17 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 52 /sheet617F3F23/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 4 /Cin)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA2B8) - (at 147.447 94.0435 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617F2465/617FD6EC) - (attr smd) - (fp_text reference Q16 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 50 /sheet617EF79C/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 51 /sheet61827E81/out)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 27 /Coutinv)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA2A5) - (at 140.081 94.0435 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617EF7A1/6181BDCD) - (attr smd) - (fp_text reference Q15 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0.508 1.778 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 49 /sheet617F0BFA/out)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 50 /sheet617EF79C/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 27 /Coutinv)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA292) - (at 135.001 84.3915 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617EDFF6/6181BDCD) - (attr smd) - (fp_text reference Q14 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 2 VCC)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 48 /sheet617EB753/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 4 /Cin)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA27F) - (at 135.001 89.2175 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617ECBC3/6181BDCD) - (attr smd) - (fp_text reference Q13 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 2 VCC)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 48 /sheet617EB753/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 5 /B)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617EA26C) - (at 135.001 94.0435 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617EB758/6181BDCD) - (attr smd) - (fp_text reference Q12 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 2 VCC)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 48 /sheet617EB753/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 3 /A)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617F34E6) + (footprint "Package_TO_SOT_SMD:SOT-523" (layer "F.Cu") + (tedit 5E27725A) (tstamp 00000000-0000-0000-0000-0000617f34e6) (at 157.861 85.6615 90) (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617EA119/6181BDCD) + (tags "SOT-523") + (path "/00000000-0000-0000-0000-0000617ea119/00000000-0000-0000-0000-00006181bdcd") (attr smd) - (fp_text reference Q11 (at 0 -1.7 90) (layer F.Fab) + (fp_text reference "Q11" (at 0 -1.7 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp cca207b6-ed91-4f8e-9b69-2c235da49760) ) - (fp_text value P (at 0 1.75 90) (layer Cmts.User) + (fp_text value "P" (at 0 1.75 90) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 97935c2f-81ae-4eb0-87b2-3b37074e605d) ) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.0625))) + (tstamp b97a3c7b-7b24-49d9-86cb-400470477e17) ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 2 VCC)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 47 /sheet617EA114/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 27 /Coutinv)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer "F.SilkS") (width 0.12) (tstamp 093d900c-cee8-48a2-8f9f-bbc1d7618352)) + (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer "F.SilkS") (width 0.12) (tstamp af638ad8-6586-42ec-8f27-2df3693fab0e)) + (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 38d1927e-4e74-4f7b-bc26-1e1826d72f27)) + (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 686adc05-46b1-4722-a5a0-b3f24c2fad68)) + (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 8b70235f-6170-4e79-8233-0e6e41814807)) + (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer "F.CrtYd") (width 0.05) (tstamp f27adcd7-b388-45bc-84fc-656fc73cabd7)) + (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 50ad79df-81df-441f-83a4-686da94701bf)) + (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer "F.Fab") (width 0.1) (tstamp 5a86ef7f-ed41-4c20-8532-dda05cc5515f)) + (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer "F.Fab") (width 0.1) (tstamp ad2ab70b-a779-450f-b324-169a6cda66c5)) + (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp caba7f63-5e4e-4dcc-ba7c-4f7e989b48b1)) + (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer "F.Fab") (width 0.1) (tstamp e29862e5-fe3c-439e-ac61-fad8b73ea2cb)) + (pad "1" smd rect locked (at -0.645 -0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "/Coutinv") (tstamp 20b39d3c-644f-4951-ba6d-78d5e90bb6e6)) + (pad "2" smd rect locked (at -0.645 0.5 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (tstamp ecb5188e-8126-41b0-9f0d-7ccac6c83626)) + (pad "3" smd rect locked (at 0.645 0 270) (size 0.51 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 47 "/sheet617EA114/drain") (tstamp cbfd5b7e-0140-4248-8205-05f5530fffce)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9FAE) - (at 129.159 82.6135) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /6196C12A/617FF64C) + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617f898e) + (at 148.971 85.6615 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-000061902300/00000000-0000-0000-0000-0000618000c0") (attr smd) - (fp_text reference D28 (at 0 -1.17) (layer F.Fab) + (fp_text reference "R33" (at 0 -1.17 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 13e51803-bbfa-4a8d-8987-f96a42bbdcd7) ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15))) + (tstamp cf16ae7c-81ff-4945-9725-0e02d2fa1646) ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 2f258ee3-7993-4528-a6cd-ed343b7a9da9) ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 44 /Sinv)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 45 "Net-(D28-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 616a285e-a2f8-418a-82f4-07203fa827ab)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 8ef47fc8-b67a-4447-b8c5-c741575b6ff5)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 242f1c07-818f-48b7-bdd4-37754bbed798)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp a8eb97fc-816d-482a-95d7-4e0f8ea82cd5)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp b5d2db34-4418-47e0-88a1-777c80418001)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp ef2b294b-e705-4cea-a992-d0821c84fd2a)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 9bd00813-329f-436c-9328-4d5f36ec7c5e)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp b69eea34-0673-4a54-986c-aa58d8998d0c)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp b8c6ca3f-1906-441e-9568-4bcac6e58ce3)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp cf106b1a-ab4e-4fa2-abd0-2f0d3a0d5eab)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/sheet619022FA/drain") (tstamp ee0e2565-e931-41b5-a161-d1170cb3af76)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "Net-(D25-Pad1)") (tstamp 8c9df55a-f732-4a64-93f0-013967e4e7fe)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9F9C) - (at 129.177 83.8635) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /6196C125/617F3A70) + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617f89be) + (at 149.225 80.8355 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000618f6378/00000000-0000-0000-0000-0000618000c0") (attr smd) - (fp_text reference D27 (at 0 -1.17) (layer F.Fab) + (fp_text reference "R32" (at 0 -1.17 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 97718c5e-f9c7-4304-9a55-b111d432a140) ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9afe5f5a-dae0-451f-be27-fe880cd14313) ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp f5f6a2b1-c73a-4451-8ba9-e777f37dd33b) ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 43 "Net-(D27-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 44 /Sinv)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 6e74b2f7-e097-4403-b1c9-70b1c04783d0)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp d1ab7219-133c-4fd5-ab14-9f4b96d21dcd)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 9a6297a2-d0b8-41ba-b9a2-99cec76da7e9)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp bae5bdcd-81e0-4964-b44d-e0f75ecbb7fd)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp c4ecf7f9-4b0e-4a72-afa0-3761103d4ea7)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp ca4b80b2-83ae-42fe-8d67-bb7695c4bc39)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 0585b740-c844-48fd-a688-3c94b6dc0025)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 30ddfbc4-32a2-4aa4-a703-ce88a274e717)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 76f02526-559b-4981-b4d3-7d3ff68dc179)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp c9732948-fc5f-4b31-b722-699e077a9674)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/sheet617EF79C/drain") (tstamp 80ea27b7-3ac1-4b53-9172-62693d3c4946)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "Net-(D24-Pad1)") (tstamp f4ba17c4-1b78-4a64-9641-b3b8f46a8244)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9F8A) - (at 152.527 81.3435) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /61902305/617FF64C) + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617f8af5) + (at 149.225 100.1415 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-000061801128/00000000-0000-0000-0000-0000618000c0") (attr smd) - (fp_text reference D26 (at 0 -1.17) (layer F.Fab) + (fp_text reference "R7" (at 0 -1.17 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp ec92a4c2-7500-498e-bb81-72b6696cb2f7) ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) + (fp_text value "68" (at 0 1.17 90) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7f48cdc2-b7e3-4a02-b99a-d8eb412a6683) ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04))) + (tstamp 5b8cf40d-0f56-4e0e-8a6c-784a9892ec93) ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 3 /A)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 42 "Net-(D26-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 6b0c5c0f-9e0b-4c14-93fe-df84ab8d33c5)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "F.SilkS") (width 0.12) (tstamp 7b9b5288-39b1-40cf-bd6b-efdfa953102f)) + (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp 162f2f15-9647-4b6a-be51-ca2f84dffaaf)) + (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp 1eb2450b-00e8-4e1e-b3bd-667f66c7b43f)) + (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer "F.CrtYd") (width 0.05) (tstamp df5fdd88-4614-4b6b-b8ff-bd1aec91aff4)) + (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer "F.CrtYd") (width 0.05) (tstamp f4c126a1-1834-4873-ba92-6e74d3588766)) + (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp 4286c32c-09f5-45c9-8b0e-d3896f9ddf87)) + (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp 59a624a8-0de8-4037-bfc6-43fa04f5e57e)) + (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer "F.Fab") (width 0.1) (tstamp d3d80e5e-d1c8-4a8c-84e4-6a5ea1aa9507)) + (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer "F.Fab") (width 0.1) (tstamp ec69143f-2d28-4ca3-9c78-fdaeb971327e)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "/Sheet617FA69D/drain") (tstamp 3c9ac466-9b3c-4a77-b1b9-b27cd751216e)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "Net-(D7-Pad1)") (tstamp 5cedfc8c-2ab0-46e8-80b7-e79ee357e001)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9F78) - (at 147.447 86.1695) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /61902300/617FF64C) - (attr smd) - (fp_text reference D25 (at 0 -1.17) (layer F.Fab) + (footprint "digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm" (layer "F.Cu") + (tedit 5A4D0CA0) (tstamp 00000000-0000-0000-0000-00006184f588) + (at 147.3835 115.3795) + (descr "http://www.jst-mfg.com/product/pdf/eng/eXH.pdf") + (path "/00000000-0000-0000-0000-0000617f2061") + (attr through_hole) + (fp_text reference "B1" (at 2.8 -4) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 63c3c97c-5035-4caf-b0bf-02efb26ba290) ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) + (fp_text value "B" (at 3.1115 -4.0005) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) + (tstamp ea04713e-fa3e-403b-83eb-2b5b18659fdd) ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 2.4 -0.1) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp abeb0918-b64d-479a-ba1f-509c67118e1d) ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /B)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 "Net-(D25-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -2.6 3) (end -2.6 2.5) (layer "F.SilkS") (width 0.1) (tstamp 2bba8610-4e8e-4412-8f76-5ed514750d22)) + (fp_line (start 7.6 -3) (end 7.1 -3) (layer "F.SilkS") (width 0.1) (tstamp 314c305a-ecbd-4dd3-b894-f07941d2d169)) + (fp_line (start -2.6 3) (end -2.1 3) (layer "F.SilkS") (width 0.1) (tstamp 5915a5b0-a010-4d1c-b9b0-075519b3362e)) + (fp_line (start -2.6 -3) (end -2.1 -3) (layer "F.SilkS") (width 0.1) (tstamp 83c8a65c-511e-4489-a7d2-45f42cedbfeb)) + (fp_line (start 7.6 3) (end 7.6 2.5) (layer "F.SilkS") (width 0.1) (tstamp 8fabe537-cb26-4b38-9209-976d0c66e6b9)) + (fp_line (start 7.6 -3) (end 7.6 -2.5) (layer "F.SilkS") (width 0.1) (tstamp ccbe602c-1b9e-412a-9840-6215337133e3)) + (fp_line (start -2.6 -3) (end -2.6 -2.5) (layer "F.SilkS") (width 0.1) (tstamp d65790a5-d000-45d9-b075-abb5207f4318)) + (fp_line (start 7.6 3) (end 7.1 3) (layer "F.SilkS") (width 0.1) (tstamp e59da232-f27e-483e-887b-bfdf5cd5c073)) + (fp_line (start 7.7 3.12) (end -2.7 3.12) (layer "F.CrtYd") (width 0.05) (tstamp 0f79e85b-81c4-4ca1-a430-abc8235bba19)) + (fp_line (start -2.7 -3.13) (end -2.7 3.12) (layer "F.CrtYd") (width 0.05) (tstamp 98192eb3-9aaa-4d5e-88b2-988f2c93a06f)) + (fp_line (start 7.7 -3.13) (end -2.7 -3.13) (layer "F.CrtYd") (width 0.05) (tstamp c1b6061c-2279-4973-9736-84e0897289e8)) + (fp_line (start 7.7 -3.13) (end 7.7 3.12) (layer "F.CrtYd") (width 0.05) (tstamp e7374edb-8478-46b9-b7da-10ef28bdb5b3)) + (fp_line (start -2.45 -2.875) (end 7.45 -2.875) (layer "F.Fab") (width 0.1) (tstamp 25330c11-471f-4e60-abd6-277feceba602)) + (fp_line (start 7.45 -2.875) (end 7.45 2.875) (layer "F.Fab") (width 0.1) (tstamp 65425cb7-bc8e-43c1-bc18-1b6413e8b6e2)) + (fp_line (start -2.45 2.875) (end 7.45 2.875) (layer "F.Fab") (width 0.1) (tstamp 811b723b-2ad1-4782-8a5a-f94e3b5fa4f2)) + (fp_line (start -2.45 -2.875) (end -2.45 2.875) (layer "F.Fab") (width 0.1) (tstamp c01f5d95-5f16-40be-9d91-f22da11bed49)) + (pad "1" thru_hole circle locked (at 0 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) + (net 1 "GND") (tstamp 6710a2f3-a46b-4600-aab2-3655400c831d)) + (pad "2" thru_hole circle locked (at 2.5 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) + (net 5 "/B") (tstamp fed2b19d-ab2b-4e84-a4dc-00c94faca759)) + (pad "3" thru_hole circle locked (at 5 0) (size 2.1 2.1) (drill 1.1) (layers *.Cu *.Mask) + (net 2 "VCC") (tstamp 2783a717-b215-4be9-8de1-43e75e1f7755)) + ) + + (footprint "Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Horizontal" (layer "F.Cu") + (tedit 5A19A424) (tstamp 00000000-0000-0000-0000-000061865dd2) + (at 156.591 102.6795 180) + (descr "Through hole angled socket strip, 1x04, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated") + (tags "Through hole angled socket strip THT 1x04 2.54mm single row") + (path "/00000000-0000-0000-0000-0000617f873d") + (attr through_hole) + (fp_text reference "J2" (at -4.38 -2.77) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 70c7c2bc-166d-4e77-86f2-f35ad10ab674) + ) + (fp_text value "Conn_01x04_Female" (at -4.38 10.39) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a2f2d694-4a37-4856-99ad-4e69edc77227) + ) + (fp_text user "${REFERENCE}" (at -5.775 3.81 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e296751c-920b-423d-94ea-81858039c601) + ) + (fp_line (start -10.09 -0.855715) (end -1.46 -0.855715) (layer "F.SilkS") (width 0.12) (tstamp 06e2695d-cc5d-47b6-8a43-56e24cfdbc23)) + (fp_line (start 1.11 -1.33) (end 1.11 0) (layer "F.SilkS") (width 0.12) (tstamp 1df1c683-dae0-43c4-91a7-8eb8fac9acf6)) + (fp_line (start -10.09 3.81) (end -1.46 3.81) (layer "F.SilkS") (width 0.12) (tstamp 263b4ef8-5a69-431b-b0a0-64395cb8bcb9)) + (fp_line (start -10.09 -0.73762) (end -1.46 -0.73762) (layer "F.SilkS") (width 0.12) (tstamp 2a7576de-7816-4386-8293-8bb444b5b92d)) + (fp_line (start -1.46 5.44) (end -1.05 5.44) (layer "F.SilkS") (width 0.12) (tstamp 2fbd41bd-a616-4704-9b5e-3b431b58ff55)) + (fp_line (start -10.09 -0.147145) (end -1.46 -0.147145) (layer "F.SilkS") (width 0.12) (tstamp 35148b0e-29b4-40b5-b872-eb5a3c677904)) + (fp_line (start -10.09 0.797615) (end -1.46 0.797615) (layer "F.SilkS") (width 0.12) (tstamp 40ea77a3-5e4b-4f2f-a45b-0c53fadd6133)) + (fp_line (start -1.46 4.72) (end -1.05 4.72) (layer "F.SilkS") (width 0.12) (tstamp 428216c6-5054-4680-9f3e-fce02836901f)) + (fp_line (start -10.09 -0.02905) (end -1.46 -0.02905) (layer "F.SilkS") (width 0.12) (tstamp 4419a2e9-9abd-4387-b6a3-76ce192a520f)) + (fp_line (start -10.09 -0.383335) (end -1.46 -0.383335) (layer "F.SilkS") (width 0.12) (tstamp 4b8f35ff-dddb-415a-ad8a-5f3089f572a3)) + (fp_line (start -10.09 0.91571) (end -1.46 0.91571) (layer "F.SilkS") (width 0.12) (tstamp 4b9860c2-716b-4842-8208-56c77f298c97)) + (fp_line (start -10.09 -0.619525) (end -1.46 -0.619525) (layer "F.SilkS") (width 0.12) (tstamp 4df0f465-95ef-43bd-af59-3526007f4098)) + (fp_line (start -10.09 -1.21) (end -1.46 -1.21) (layer "F.SilkS") (width 0.12) (tstamp 51614e2e-9bb1-4720-8061-9fe40c4ab8b2)) + (fp_line (start -10.09 -0.50143) (end -1.46 -0.50143) (layer "F.SilkS") (width 0.12) (tstamp 5182d4d0-d701-4eef-9c2d-f13ab960bfcf)) + (fp_line (start -10.09 0.67952) (end -1.46 0.67952) (layer "F.SilkS") (width 0.12) (tstamp 63361f79-98b2-42e4-9116-5464be7828ff)) + (fp_line (start -10.09 0.561425) (end -1.46 0.561425) (layer "F.SilkS") (width 0.12) (tstamp 6c9a9d97-f9be-4561-bc0b-fee8fbb22afa)) + (fp_line (start -1.46 2.9) (end -1.05 2.9) (layer "F.SilkS") (width 0.12) (tstamp 6f5df695-98cd-4025-a09b-23173ac8fee1)) + (fp_line (start -10.09 -1.33) (end -1.46 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 70958199-3299-49f9-97a7-0196ddbac47f)) + (fp_line (start -10.09 1.1519) (end -1.46 1.1519) (layer "F.SilkS") (width 0.12) (tstamp 731244b3-14d0-45ab-8ec4-f618e321c881)) + (fp_line (start -10.09 8.95) (end -1.46 8.95) (layer "F.SilkS") (width 0.12) (tstamp 73c878ee-8fc8-4f6b-8d69-f39dde811b2b)) + (fp_line (start -10.09 6.35) (end -1.46 6.35) (layer "F.SilkS") (width 0.12) (tstamp 7df7488d-6212-4f85-b505-e7ab7868ee70)) + (fp_line (start -1.46 -1.33) (end -1.46 8.95) (layer "F.SilkS") (width 0.12) (tstamp 8c5cd5fb-21cb-404a-880c-b4874f64675c)) + (fp_line (start -10.09 -0.97381) (end -1.46 -0.97381) (layer "F.SilkS") (width 0.12) (tstamp 8d74cd7a-552f-4ac1-b734-42e729822668)) + (fp_line (start -10.09 -1.33) (end -10.09 8.95) (layer "F.SilkS") (width 0.12) (tstamp 8ef38c14-8126-4e03-ae76-23745504e452)) + (fp_line (start -10.09 0.44333) (end -1.46 0.44333) (layer "F.SilkS") (width 0.12) (tstamp 9df2ef66-1b65-4ca3-96c2-3a7875553951)) + (fp_line (start -10.09 -1.091905) (end -1.46 -1.091905) (layer "F.SilkS") (width 0.12) (tstamp a2449450-4c53-46d9-bff8-95b21a8bb9dd)) + (fp_line (start 0 -1.33) (end 1.11 -1.33) (layer "F.SilkS") (width 0.12) (tstamp a26f9793-c1ca-4e9e-8255-114fc791ba6b)) + (fp_line (start -1.46 0.36) (end -1.11 0.36) (layer "F.SilkS") (width 0.12) (tstamp a319330b-1e92-4a2c-8cce-9bd0987c8dbb)) + (fp_line (start -1.46 -0.36) (end -1.11 -0.36) (layer "F.SilkS") (width 0.12) (tstamp aab50712-bee3-4595-b56e-276ecc9681fd)) + (fp_line (start -1.46 2.18) (end -1.05 2.18) (layer "F.SilkS") (width 0.12) (tstamp c9055f07-2964-4818-aa14-f5d4ed89c5b4)) + (fp_line (start -10.09 1.27) (end -1.46 1.27) (layer "F.SilkS") (width 0.12) (tstamp d3e94658-57f6-407b-abdc-8d28ab6169d9)) + (fp_line (start -1.46 7.98) (end -1.05 7.98) (layer "F.SilkS") (width 0.12) (tstamp d7b644e9-6de5-4c58-af14-91299a6e056c)) + (fp_line (start -10.09 0.089045) (end -1.46 0.089045) (layer "F.SilkS") (width 0.12) (tstamp de9d3317-61bb-40c3-83fc-e5de290c660e)) + (fp_line (start -1.46 7.26) (end -1.05 7.26) (layer "F.SilkS") (width 0.12) (tstamp df5fbf31-8d89-429e-b318-232456f7dce2)) + (fp_line (start -10.09 1.033805) (end -1.46 1.033805) (layer "F.SilkS") (width 0.12) (tstamp ec2f9e1a-1724-4100-8f45-8a26ffd6f79c)) + (fp_line (start -10.09 0.325235) (end -1.46 0.325235) (layer "F.SilkS") (width 0.12) (tstamp f7520b33-93d0-4c57-8120-c7210265d402)) + (fp_line (start -10.09 -0.26524) (end -1.46 -0.26524) (layer "F.SilkS") (width 0.12) (tstamp fd69d834-20c5-41ec-abf4-ae7090869772)) + (fp_line (start -10.09 0.20714) (end -1.46 0.20714) (layer "F.SilkS") (width 0.12) (tstamp ff7f82c8-8496-4278-8b82-2219419bb6d9)) + (fp_line (start 1.75 9.45) (end 1.75 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 219b4ebc-dd54-48a7-9b47-c45ccdd91916)) + (fp_line (start -10.55 9.45) (end 1.75 9.45) (layer "F.CrtYd") (width 0.05) (tstamp 4bcb2211-00be-469d-af9c-e0d8daa433dc)) + (fp_line (start 1.75 -1.75) (end -10.55 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 671e5734-8eb0-4994-a1f5-cf7f10ee01bc)) + (fp_line (start -10.55 -1.75) (end -10.55 9.45) (layer "F.CrtYd") (width 0.05) (tstamp b29441ce-711c-4800-bd56-a6434076d849)) + (fp_line (start 0 7.92) (end 0 7.32) (layer "F.Fab") (width 0.1) (tstamp 014d14ab-d65c-46da-a218-6fb58e00edcb)) + (fp_line (start -1.52 0.3) (end 0 0.3) (layer "F.Fab") (width 0.1) (tstamp 08b61a72-aed2-4964-b6c5-5386e93d5c78)) + (fp_line (start -10.03 -1.27) (end -2.49 -1.27) (layer "F.Fab") (width 0.1) (tstamp 1f553c03-c187-4c0c-ad53-50a9ea1cce29)) + (fp_line (start -1.52 5.38) (end 0 5.38) (layer "F.Fab") (width 0.1) (tstamp 21e14b9b-554e-4cd6-b528-b7c683d4759f)) + (fp_line (start 0 4.78) (end -1.52 4.78) (layer "F.Fab") (width 0.1) (tstamp 3c9f8346-b327-413a-8c0a-b288c19b5753)) + (fp_line (start 0 2.84) (end 0 2.24) (layer "F.Fab") (width 0.1) (tstamp 4e2a7b6d-0fb4-4591-91a2-1be58c929990)) + (fp_line (start 0 7.32) (end -1.52 7.32) (layer "F.Fab") (width 0.1) (tstamp 58351052-0143-4797-b06e-df14a7d02877)) + (fp_line (start -2.49 -1.27) (end -1.52 -0.3) (layer "F.Fab") (width 0.1) (tstamp 60812665-80f0-4894-ae04-5ee37995b0df)) + (fp_line (start -10.03 8.89) (end -10.03 -1.27) (layer "F.Fab") (width 0.1) (tstamp 60ff3701-0239-4e63-84dd-076c1b1a38e6)) + (fp_line (start 0 -0.3) (end -1.52 -0.3) (layer "F.Fab") (width 0.1) (tstamp 7ebf24e2-6469-4364-a3be-50384c70dede)) + (fp_line (start -1.52 8.89) (end -10.03 8.89) (layer "F.Fab") (width 0.1) (tstamp 81a5a54e-0def-43b6-824b-5b324cb78321)) + (fp_line (start -1.52 7.92) (end 0 7.92) (layer "F.Fab") (width 0.1) (tstamp 9bbb62fb-2861-48d3-ae13-babeefd41879)) + (fp_line (start 0 0.3) (end 0 -0.3) (layer "F.Fab") (width 0.1) (tstamp a51c2ad3-8487-4da7-b0d8-c8fdafb29ef8)) + (fp_line (start 0 2.24) (end -1.52 2.24) (layer "F.Fab") (width 0.1) (tstamp a9c8eeda-6102-4c51-a386-a3427cf0db95)) + (fp_line (start -1.52 -0.3) (end -1.52 8.89) (layer "F.Fab") (width 0.1) (tstamp c87be7fd-2c75-47eb-93e9-2f350bf7bebe)) + (fp_line (start -1.52 2.84) (end 0 2.84) (layer "F.Fab") (width 0.1) (tstamp eccd8350-80d9-4559-9d84-617ebdd79d46)) + (fp_line (start 0 5.38) (end 0 4.78) (layer "F.Fab") (width 0.1) (tstamp fdb4fdaa-6d44-4fb6-b774-91da3aa5e630)) + (pad "1" thru_hole rect locked (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "VCC") (tstamp c017d449-f70c-47bc-95b0-bf713cec0aad)) + (pad "2" thru_hole oval locked (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (tstamp 34c597da-9fbb-4311-a7c4-daab1b9245d8)) + (pad "3" thru_hole oval locked (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 46 "/Cout") (tstamp 0646856d-502e-4b18-b22f-c9dba1cc8c3e)) + (pad "4" thru_hole oval locked (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 "CK") (tstamp b6c022a6-229e-43b2-9fa3-6a734df54f62)) + (model "${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x04_P2.54mm_Horizontal.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617EE550) - (at 147.447 81.3435) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /618F6378/617FF64C) - (attr smd) - (fp_text reference D24 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 4 /Cin)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 40 "Net-(D24-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9F54) - (at 140.081 81.3435) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /6189461A/617F3A70) - (attr smd) - (fp_text reference D23 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 39 "Net-(D23-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 4 /Cin)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617EEE2B) - (at 140.081 86.1695) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /6188F5BD/617F3A70) - (attr smd) - (fp_text reference D22 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 38 "Net-(D22-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /B)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617EED1D) - (at 135.001 81.3435) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /6188A626/617F3A70) - (attr smd) - (fp_text reference D21 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 "Net-(D21-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 3 /A)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617EC104) - (at 157.861 82.6135) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /6180E2AE/617FF64C) - (attr smd) - (fp_text reference D20 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /Coutinv)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 "Net-(D20-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9F0C) - (at 152.527 95.8215) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617F68DA/617FF64C) - (attr smd) - (fp_text reference D19 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 3 /A)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 "Net-(D19-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9EFA) - (at 152.527 90.9955) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617F542F/617FF64C) - (attr smd) - (fp_text reference D18 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /B)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 "Net-(D18-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9EE8) - (at 152.527 86.1695) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617F3F28/617FF64C) - (attr smd) - (fp_text reference D17 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 4 /Cin)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 "Net-(D17-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9ED6) - (at 147.447 95.8215) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617F2465/617FF64C) - (attr smd) - (fp_text reference D16 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /Coutinv)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 "Net-(D16-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617EBEA0) - (at 140.104 95.8215) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617EF7A1/617F3A70) - (attr smd) - (fp_text reference D15 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 "Net-(D15-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /Coutinv)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9EB2) - (at 135.001 86.1695) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617EDFF6/617F3A70) - (attr smd) - (fp_text reference D14 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 "Net-(D14-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 4 /Cin)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9EA0) - (at 135.001 90.9955) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617ECBC3/617F3A70) - (attr smd) - (fp_text reference D13 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 "Net-(D13-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /B)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9E8E) - (at 135.001 95.8215) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617EB758/617F3A70) - (attr smd) - (fp_text reference D12 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 "Net-(D12-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 3 /A)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E9E7C) - (at 157.861 83.8835) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617EA119/617F3A70) - (attr smd) - (fp_text reference D11 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 26 "Net-(D11-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /Coutinv)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal (layer F.Cu) (tedit 59FED5CB) (tstamp 618660F9) + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal" (layer "F.Cu") + (tedit 59FED5CB) (tstamp 00000000-0000-0000-0000-0000618660f9) (at 131.191 102.6795 180) (descr "Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row") (tags "Through hole angled pin header THT 1x04 2.54mm single row") - (path /617F7592) - (fp_text reference J1 (at 4.385 -2.27) (layer F.Fab) + (path "/00000000-0000-0000-0000-0000617f7592") + (attr through_hole) + (fp_text reference "J1" (at 4.385 -2.27) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3ddffc31-5056-4cdb-a5ca-93f0968e7c53) ) - (fp_text value Conn_01x04_Male (at 4.385 9.89) (layer Cmts.User) + (fp_text value "Conn_01x04_Male" (at 4.385 9.89) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6938b1d4-63ec-4f48-968f-e8600f113cb2) ) - (fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 10.55 9.4) (end 10.55 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 9.4) (end 10.55 9.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.27 -1.27) (end 0 -1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 0) (end -1.27 -1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 8) (end 1.44 8) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 7.24) (end 1.44 7.24) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 8) (end 4.1 8) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 7.24) (end 10.1 8) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 7.24) (end 10.1 7.24) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 6.35) (end 4.1 6.35) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 5.46) (end 1.44 5.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 4.7) (end 1.44 4.7) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 5.46) (end 4.1 5.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 4.7) (end 10.1 5.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 4.7) (end 10.1 4.7) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 3.81) (end 4.1 3.81) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 2.92) (end 1.44 2.92) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 2.16) (end 1.44 2.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 2.92) (end 4.1 2.92) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 2.16) (end 10.1 2.92) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 2.16) (end 10.1 2.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 1.27) (end 4.1 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.11 0.38) (end 1.44 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 0.28) (end 10.1 0.28) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 0.16) (end 10.1 0.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 0.04) (end 10.1 0.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.08) (end 10.1 -0.08) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.2) (end 10.1 -0.2) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.32) (end 10.1 -0.32) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 0.38) (end 4.1 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 -0.38) (end 10.1 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.38) (end 10.1 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -1.33) (end 1.44 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 8.95) (end 4.1 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 8.95) (end 4.1 8.95) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 -1.33) (end 1.44 8.95) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.04 7.94) (end 10.04 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 7.3) (end 10.04 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 7.3) (end 10.04 7.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 7.94) (end 1.5 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 7.3) (end -0.32 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 7.3) (end 1.5 7.3) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 5.4) (end 10.04 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 4.76) (end 10.04 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 4.76) (end 10.04 4.76) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 5.4) (end 1.5 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 4.76) (end -0.32 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 4.76) (end 1.5 4.76) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 2.86) (end 10.04 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 2.22) (end 10.04 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 2.22) (end 10.04 2.22) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 2.86) (end 1.5 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 2.22) (end -0.32 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 2.22) (end 1.5 2.22) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 0.32) (end 10.04 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 -0.32) (end 10.04 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 0.32) (end 1.5 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 -0.32) (end -0.32 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer F.Fab) (width 0.1)) - (fp_line (start 1.5 -0.635) (end 2.135 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start 1.5 8.89) (end 1.5 -0.635) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 8.89) (end 1.5 8.89) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 -1.27) (end 4.04 8.89) (layer F.Fab) (width 0.1)) - (fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 2.77 3.81 90) (layer F.Fab) + (fp_text user "${REFERENCE}" (at 2.77 3.81 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 46810520-aa7a-4093-9e55-4af40b2b706e) ) - (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 25 CK)) - (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 4 /Cin)) - (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 1 GND)) - (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 2 VCC)) - (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Horizontal.wrl - (at (xyz 0 0 0)) + (fp_line (start 1.44 3.81) (end 4.1 3.81) (layer "F.SilkS") (width 0.12) (tstamp 005231cf-901f-48f8-b1f1-ddb1a73b98fc)) + (fp_line (start 1.44 8.95) (end 4.1 8.95) (layer "F.SilkS") (width 0.12) (tstamp 085b7e09-49c4-444d-b6f8-91cdbcf82b16)) + (fp_line (start 1.042929 2.92) (end 1.44 2.92) (layer "F.SilkS") (width 0.12) (tstamp 0b254671-46f8-4b92-83ba-bcb125cfba7b)) + (fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer "F.SilkS") (width 0.12) (tstamp 0b58b8c6-cbbb-4825-a95e-9242c07a78d0)) + (fp_line (start 10.1 2.92) (end 4.1 2.92) (layer "F.SilkS") (width 0.12) (tstamp 0d8e7508-7cf5-4ccd-bad3-ff4634880b22)) + (fp_line (start -1.27 0) (end -1.27 -1.27) (layer "F.SilkS") (width 0.12) (tstamp 121069fa-d9cc-4032-ab74-8f449da8b085)) + (fp_line (start 1.44 -1.33) (end 1.44 8.95) (layer "F.SilkS") (width 0.12) (tstamp 1f27f7da-3f20-45a7-94d0-0a72815d1623)) + (fp_line (start 10.1 7.24) (end 10.1 8) (layer "F.SilkS") (width 0.12) (tstamp 1f551ef0-815a-4ec7-9936-492e233c16e9)) + (fp_line (start 4.1 8.95) (end 4.1 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 26122da0-0a75-4f85-9c09-50d53b59768b)) + (fp_line (start 4.1 -0.2) (end 10.1 -0.2) (layer "F.SilkS") (width 0.12) (tstamp 2753fe7e-75eb-4ccb-b73d-151bbb55a2e5)) + (fp_line (start 10.1 4.7) (end 10.1 5.46) (layer "F.SilkS") (width 0.12) (tstamp 42275b79-0a1a-456f-83a5-f7979c120325)) + (fp_line (start 10.1 2.16) (end 10.1 2.92) (layer "F.SilkS") (width 0.12) (tstamp 42f791b6-e5a6-48cc-8722-78f0c6df7bf1)) + (fp_line (start 4.1 0.28) (end 10.1 0.28) (layer "F.SilkS") (width 0.12) (tstamp 4a592423-51c7-465e-b5b1-ebec9640593d)) + (fp_line (start 10.1 0.38) (end 4.1 0.38) (layer "F.SilkS") (width 0.12) (tstamp 694dd768-2386-4fd4-abc8-d98b2c65a58b)) + (fp_line (start 4.1 -0.32) (end 10.1 -0.32) (layer "F.SilkS") (width 0.12) (tstamp 6da40200-1077-4480-a80b-3893879ebb0f)) + (fp_line (start 4.1 0.04) (end 10.1 0.04) (layer "F.SilkS") (width 0.12) (tstamp 7e3182c0-a552-4b2d-9c48-36b86b631d9f)) + (fp_line (start 4.1 -1.33) (end 1.44 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 82f5f197-a052-488e-989f-c2fdc44cbe7c)) + (fp_line (start 1.042929 5.46) (end 1.44 5.46) (layer "F.SilkS") (width 0.12) (tstamp 87605a93-c45c-4b3e-879f-ae275a2b9803)) + (fp_line (start 10.1 5.46) (end 4.1 5.46) (layer "F.SilkS") (width 0.12) (tstamp 8791ba7f-dfc1-4e7f-9bc6-9b4780707ece)) + (fp_line (start 1.11 0.38) (end 1.44 0.38) (layer "F.SilkS") (width 0.12) (tstamp 9077126a-5ed3-4350-9112-bd1ed6de2f93)) + (fp_line (start 1.042929 4.7) (end 1.44 4.7) (layer "F.SilkS") (width 0.12) (tstamp 91cd470e-6084-432e-831b-d392587739da)) + (fp_line (start 4.1 7.24) (end 10.1 7.24) (layer "F.SilkS") (width 0.12) (tstamp 92dd944d-5c5a-4cab-a506-150152185b7d)) + (fp_line (start 4.1 2.16) (end 10.1 2.16) (layer "F.SilkS") (width 0.12) (tstamp a41b1795-abe0-49fe-9c73-e354c2123e91)) + (fp_line (start 1.44 1.27) (end 4.1 1.27) (layer "F.SilkS") (width 0.12) (tstamp bad58fde-dfaa-49d9-a067-11eada7353c8)) + (fp_line (start 1.042929 8) (end 1.44 8) (layer "F.SilkS") (width 0.12) (tstamp be92d35c-1b63-4f84-876a-add43e4602fa)) + (fp_line (start 4.1 4.7) (end 10.1 4.7) (layer "F.SilkS") (width 0.12) (tstamp c08cdd1a-5859-4d6d-90f7-dc8a4f68ca3d)) + (fp_line (start 10.1 -0.38) (end 10.1 0.38) (layer "F.SilkS") (width 0.12) (tstamp c8f9d532-706d-471a-91e9-56e5967fda5e)) + (fp_line (start 1.042929 7.24) (end 1.44 7.24) (layer "F.SilkS") (width 0.12) (tstamp ca7f28c3-0227-437d-9855-156f6f081d54)) + (fp_line (start 10.1 8) (end 4.1 8) (layer "F.SilkS") (width 0.12) (tstamp cc252acf-972e-467b-a228-37a1a80422f4)) + (fp_line (start 1.44 6.35) (end 4.1 6.35) (layer "F.SilkS") (width 0.12) (tstamp dd10157d-9e1d-40d6-99bc-bcab93816356)) + (fp_line (start 4.1 -0.38) (end 10.1 -0.38) (layer "F.SilkS") (width 0.12) (tstamp e3cf98b1-2a2e-4758-a929-3fddd34707fc)) + (fp_line (start 4.1 -0.08) (end 10.1 -0.08) (layer "F.SilkS") (width 0.12) (tstamp e6f66d6d-8378-4aa9-9224-7941431829a9)) + (fp_line (start 1.042929 2.16) (end 1.44 2.16) (layer "F.SilkS") (width 0.12) (tstamp e8f64746-7514-4725-a2d8-85ff61f373fc)) + (fp_line (start -1.27 -1.27) (end 0 -1.27) (layer "F.SilkS") (width 0.12) (tstamp f1abea55-df58-4a9e-9fc5-fc81c6127e38)) + (fp_line (start 4.1 0.16) (end 10.1 0.16) (layer "F.SilkS") (width 0.12) (tstamp f881eda3-6c84-4feb-a13c-b2f6f4e31051)) + (fp_line (start -1.8 9.4) (end 10.55 9.4) (layer "F.CrtYd") (width 0.05) (tstamp 1273f26a-d6bd-4ef8-9814-e2619fb9317a)) + (fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 239809fc-4675-4aee-a765-9d9ac6824cfd)) + (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer "F.CrtYd") (width 0.05) (tstamp 548b083e-397f-4172-aa99-dd69e88182c3)) + (fp_line (start 10.55 9.4) (end 10.55 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 62e152f3-fc1e-47aa-8aba-2ed037a015e7)) + (fp_line (start 1.5 -0.635) (end 2.135 -1.27) (layer "F.Fab") (width 0.1) (tstamp 09f0c7a7-d231-4da8-8089-bd139160a900)) + (fp_line (start 4.04 4.76) (end 10.04 4.76) (layer "F.Fab") (width 0.1) (tstamp 1ab9fd42-d1ff-472f-bebf-cf18b7d36933)) + (fp_line (start 4.04 2.86) (end 10.04 2.86) (layer "F.Fab") (width 0.1) (tstamp 4be74078-21ca-423c-b2d0-221357b7e0e1)) + (fp_line (start 4.04 0.32) (end 10.04 0.32) (layer "F.Fab") (width 0.1) (tstamp 52bddc70-31dd-405c-ba4b-266356d21c88)) + (fp_line (start -0.32 2.22) (end -0.32 2.86) (layer "F.Fab") (width 0.1) (tstamp 59dc7c4c-385e-458b-b49e-5c41cced3bd1)) + (fp_line (start 4.04 7.3) (end 10.04 7.3) (layer "F.Fab") (width 0.1) (tstamp 5e188ef9-3ad0-489d-870b-a7b4aa8c0289)) + (fp_line (start -0.32 7.3) (end -0.32 7.94) (layer "F.Fab") (width 0.1) (tstamp 5ecfd6c8-eeb5-4afc-932b-b8b2cb62440b)) + (fp_line (start 4.04 7.94) (end 10.04 7.94) (layer "F.Fab") (width 0.1) (tstamp 68fefa1d-ae32-4c6b-b222-d2f97a4d3e42)) + (fp_line (start 10.04 2.22) (end 10.04 2.86) (layer "F.Fab") (width 0.1) (tstamp 6c54b2f9-c61a-4b3d-89fe-8d67c4920b0d)) + (fp_line (start -0.32 7.3) (end 1.5 7.3) (layer "F.Fab") (width 0.1) (tstamp 7b2b0a71-d43c-4318-bd45-c11a3d3f0688)) + (fp_line (start 4.04 8.89) (end 1.5 8.89) (layer "F.Fab") (width 0.1) (tstamp 8dd8d2b8-6846-4cb9-bfef-a84a2b7ed00a)) + (fp_line (start -0.32 7.94) (end 1.5 7.94) (layer "F.Fab") (width 0.1) (tstamp 912f2145-87c0-4cd4-90bb-480b219b4b3c)) + (fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer "F.Fab") (width 0.1) (tstamp 92b63899-366e-40f3-8e74-ea3eac40064e)) + (fp_line (start -0.32 4.76) (end 1.5 4.76) (layer "F.Fab") (width 0.1) (tstamp 952fc524-b0ed-4709-b77b-fbcd8c3530c3)) + (fp_line (start 4.04 5.4) (end 10.04 5.4) (layer "F.Fab") (width 0.1) (tstamp 9a1f903f-25d3-40d9-9306-96155fbfa84f)) + (fp_line (start -0.32 -0.32) (end -0.32 0.32) (layer "F.Fab") (width 0.1) (tstamp 9aa35433-8d8b-41e0-a1c2-6423afccc22a)) + (fp_line (start 4.04 2.22) (end 10.04 2.22) (layer "F.Fab") (width 0.1) (tstamp 9ae238c3-4844-4b6e-9d2e-5db04ce25ef3)) + (fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer "F.Fab") (width 0.1) (tstamp b1638aa4-1a4e-4bf9-ad66-330e95445cbb)) + (fp_line (start 10.04 4.76) (end 10.04 5.4) (layer "F.Fab") (width 0.1) (tstamp b39dfa00-8311-4f05-8c1b-d813c6949ee9)) + (fp_line (start -0.32 2.86) (end 1.5 2.86) (layer "F.Fab") (width 0.1) (tstamp bd8f913d-ad75-4cb6-912d-e034e9718df0)) + (fp_line (start 10.04 7.3) (end 10.04 7.94) (layer "F.Fab") (width 0.1) (tstamp c2c19c1e-53c3-42f7-b2fc-78aca7635158)) + (fp_line (start -0.32 0.32) (end 1.5 0.32) (layer "F.Fab") (width 0.1) (tstamp c49ea971-268e-4a3f-b243-c098ad0d5804)) + (fp_line (start -0.32 5.4) (end 1.5 5.4) (layer "F.Fab") (width 0.1) (tstamp c72cd074-e037-4c22-ac03-e91b88a6fdac)) + (fp_line (start 4.04 -1.27) (end 4.04 8.89) (layer "F.Fab") (width 0.1) (tstamp d9a4a8c8-0ca4-4d96-9cc6-9fe1710aa6ad)) + (fp_line (start 10.04 -0.32) (end 10.04 0.32) (layer "F.Fab") (width 0.1) (tstamp f22ca3e4-4418-4780-9311-88cb7216d025)) + (fp_line (start 1.5 8.89) (end 1.5 -0.635) (layer "F.Fab") (width 0.1) (tstamp f26a2e05-b2e9-441f-93bb-81b1d8aba583)) + (fp_line (start -0.32 2.22) (end 1.5 2.22) (layer "F.Fab") (width 0.1) (tstamp f53761d0-b4d0-4bb0-8eda-e987000c1dc9)) + (fp_line (start -0.32 4.76) (end -0.32 5.4) (layer "F.Fab") (width 0.1) (tstamp f9410461-4824-458c-8214-bab067931cff)) + (fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer "F.Fab") (width 0.1) (tstamp ff1ff0ab-c500-4b35-9810-9b927dd42401)) + (pad "1" thru_hole rect locked (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "VCC") (tstamp 3d8747c0-40b9-43c0-8304-d594aa98bb9b)) + (pad "2" thru_hole oval locked (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (tstamp 167b242d-4820-4231-be3a-816a1d0ae30c)) + (pad "3" thru_hole oval locked (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 "/Cin") (tstamp 7b8d20d7-e91b-4cac-94eb-130d1c50c8ff)) + (pad "4" thru_hole oval locked (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 "CK") (tstamp a642aa4f-5fc2-4676-affd-ddf9b5963b8b)) + (model "${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Horizontal.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E8420) - (at 140.081 98.8695 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617FA71E/6181BDCD) - (attr smd) - (fp_text reference Q5 (at 0 -1.7 90) (layer F.Fab) + (footprint "adder:iR" (layer "F.Cu") + (tedit 0) (tstamp 00000000-0000-0000-0000-000061867c07) + (at 144.145 89.789) + (attr through_hole) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3))) + (tstamp 314984a2-5950-4fb6-9c20-1ccb36cb3ee4) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3))) + (tstamp 3c45ffd8-55d1-446d-a1a8-8a4bc775821f) + ) + (fp_poly (pts + (xy 0.716486 -2.984369) + (xy 1.081876 -2.983714) + (xy 1.380074 -2.982144) + (xy 1.619815 -2.979266) + (xy 1.809838 -2.974691) + (xy 1.958878 -2.968025) + (xy 2.075673 -2.958878) + (xy 2.168959 -2.946857) + (xy 2.247474 -2.93157) + (xy 2.319955 -2.912628) + (xy 2.395137 -2.889637) + (xy 2.395747 -2.889443) + (xy 2.797673 -2.731339) + (xy 3.130517 -2.534248) + (xy 3.391366 -2.300378) + (xy 3.577306 -2.031936) + (xy 3.606108 -1.97239) + (xy 3.671279 -1.760898) + (xy 3.705356 -1.504643) + (xy 3.708165 -1.233835) + (xy 3.67953 -0.978683) + (xy 3.619277 -0.769396) + (xy 3.613153 -0.755685) + (xy 3.446079 -0.493373) + (xy 3.20905 -0.271422) + (xy 2.909021 -0.094794) + (xy 2.552951 0.031547) + (xy 2.487083 0.047727) + (xy 2.357426 0.082221) + (xy 2.269129 0.11444) + (xy 2.243667 0.133411) + (xy 2.266614 0.181275) + (xy 2.330106 0.286513) + (xy 2.426121 0.437148) + (xy 2.546634 0.621205) + (xy 2.683622 0.826705) + (xy 2.829062 1.041673) + (xy 2.974931 1.254132) + (xy 3.113205 1.452104) + (xy 3.235861 1.623614) + (xy 3.302863 1.7145) + (xy 3.526606 1.995161) + (xy 3.744819 2.235446) + (xy 3.947653 2.42572) + (xy 4.125259 2.55635) + (xy 4.206551 2.598425) + (xy 4.313241 2.657174) + (xy 4.356306 2.727099) + (xy 4.360333 2.769867) + (xy 4.355597 2.820584) + (xy 4.334572 2.858764) + (xy 4.287029 2.885932) + (xy 4.202742 2.903616) + (xy 4.071482 2.91334) + (xy 3.883022 2.916631) + (xy 3.627135 2.915016) + (xy 3.408557 2.911879) + (xy 2.647281 2.899834) + (xy 0.927515 0.232834) + (xy 0.916223 0.231962) + (xy 1.320261 0.231962) + (xy 1.337596 0.270485) + (xy 1.394968 0.369776) + (xy 1.486154 0.519692) + (xy 1.604932 0.710089) + (xy 1.745079 0.930823) + (xy 1.83188 1.065926) + (xy 2.000715 1.327647) + (xy 2.170379 1.590696) + (xy 2.329962 1.838156) + (xy 2.468555 2.053108) + (xy 2.575247 2.218636) + (xy 2.598194 2.25425) + (xy 2.836832 2.624667) + (xy 3.644909 2.624667) + (xy 3.351013 2.275417) + (xy 3.102901 1.965294) + (xy 2.830473 1.59764) + (xy 2.546812 1.190884) + (xy 2.265 0.763453) + (xy 2.160003 0.597662) + (xy 1.88584 0.159604) + (xy 1.611079 0.187755) + (xy 1.468206 0.204436) + (xy 1.363192 0.220536) + (xy 1.320261 0.231962) + (xy 0.916223 0.231962) + (xy 0.756951 0.219663) + (xy 0.586388 0.206492) + (xy 0.600111 1.329468) + (xy 0.604396 1.687335) + (xy 0.609569 1.969754) + (xy 0.618723 2.185911) + (xy 0.634948 2.344997) + (xy 0.661335 2.4562) + (xy 0.700977 2.528708) + (xy 0.756964 2.57171) + (xy 0.832388 2.594394) + (xy 0.930341 2.60595) + (xy 1.032204 2.613772) + (xy 1.223653 2.639228) + (xy 1.337538 2.681112) + (xy 1.380075 2.743645) + (xy 1.357481 2.831048) + (xy 1.356115 2.833627) + (xy 1.340988 2.857518) + (xy 1.318052 2.876597) + (xy 1.278468 2.891401) + (xy 1.213398 2.902464) + (xy 1.114004 2.910324) + (xy 0.971447 2.915513) + (xy 0.776888 2.918569) + (xy 0.52149 2.920027) + (xy 0.196413 2.920421) + (xy -0.075573 2.920352) + (xy -0.411506 2.919132) + (xy -0.721489 2.915971) + (xy -0.995065 2.911136) + (xy -1.22178 2.90489) + (xy -1.391177 2.897499) + (xy -1.4928 2.889228) + (xy -1.517121 2.883814) + (xy -1.555854 2.818246) + (xy -1.559454 2.747354) + (xy -1.544223 2.694741) + (xy -1.504509 2.659743) + (xy -1.421979 2.634418) + (xy -1.278299 2.61082) + (xy -1.228576 2.603975) + (xy -1.06126 2.577891) + (xy -0.957545 2.549789) + (xy -0.896605 2.511312) + (xy -0.858237 2.455334) + (xy -0.846364 2.41412) + (xy -0.836436 2.33745) + (xy -0.828356 2.219718) + (xy -0.82203 2.055321) + (xy -0.817362 1.838653) + (xy -0.814258 1.564112) + (xy -0.812622 1.226093) + (xy -0.81236 0.818991) + (xy -0.813376 0.337202) + (xy -0.814994 -0.093759) + (xy -0.816903 -0.626033) + (xy -0.818407 -1.079937) + (xy -0.820571 -1.461757) + (xy -0.824459 -1.777775) + (xy -0.831136 -2.034278) + (xy -0.841665 -2.237548) + (xy -0.857111 -2.393871) + (xy -0.878538 -2.509532) + (xy -0.907011 -2.590813) + (xy -0.943595 -2.644001) + (xy -0.989352 -2.675379) + (xy -1.045349 -2.691232) + (xy -1.112648 -2.697843) + (xy -1.192315 -2.701499) + (xy -1.257376 -2.70578) + (xy -1.294509 -2.709333) + (xy -0.529167 -2.709333) + (xy -0.529167 2.624667) + (xy -0.105833 2.624675) + (xy 0.3175 2.624684) + (xy 0.3175 0.031759) + (xy 0.318079 -0.43073) + (xy 0.319748 -0.869199) + (xy 0.322046 -1.221349) + (xy 0.592667 -1.221349) + (xy 0.592667 -0.127) + (xy 0.963083 -0.127661) + (xy 1.170804 -0.135099) + (xy 1.381913 -0.154163) + (xy 1.555944 -0.181009) + (xy 1.579493 -0.186202) + (xy 1.809863 -0.271739) + (xy 1.988268 -0.410015) + (xy 2.11635 -0.604866) + (xy 2.195753 -0.860128) + (xy 2.228118 -1.17964) + (xy 2.21509 -1.567237) + (xy 2.207273 -1.652349) + (xy 2.162661 -1.955488) + (xy 2.092962 -2.188952) + (xy 1.991497 -2.365705) + (xy 1.851588 -2.498713) + (xy 1.735289 -2.568657) + (xy 1.676525 -2.591375) + (xy 2.244211 -2.591375) + (xy 2.350081 -2.360125) + (xy 2.451764 -2.085866) + (xy 2.512076 -1.788519) + (xy 2.534154 -1.44751) + (xy 2.52916 -1.185333) + (xy 2.511202 -0.92536) + (xy 2.479309 -0.716261) + (xy 2.426506 -0.524532) + (xy 2.350673 -0.328083) + (xy 2.344288 -0.271875) + (xy 2.394478 -0.2557) + (xy 2.508212 -0.279237) + (xy 2.619809 -0.315786) + (xy 2.833572 -0.41454) + (xy 3.034727 -0.548618) + (xy 3.195786 -0.697977) + (xy 3.258673 -0.781559) + (xy 3.355556 -1.005201) + (xy 3.405073 -1.267905) + (xy 3.403136 -1.535966) + (xy 3.370501 -1.703563) + (xy 3.262327 -1.936201) + (xy 3.085628 -2.153445) + (xy 2.856531 -2.341564) + (xy 2.59116 -2.486827) + (xy 2.360355 -2.563726) + (xy 2.244211 -2.591375) + (xy 1.676525 -2.591375) + (xy 1.514041 -2.65419) + (xy 1.286423 -2.695566) + (xy 1.068915 -2.694615) + (xy 0.877993 -2.653167) + (xy 0.730135 -2.573054) + (xy 0.641821 -2.456105) + (xy 0.638369 -2.446801) + (xy 0.6235 -2.35967) + (xy 0.611221 -2.195592) + (xy 0.601818 -1.962254) + (xy 0.59558 -1.667344) + (xy 0.592791 -1.318551) + (xy 0.592667 -1.221349) + (xy 0.322046 -1.221349) + (xy 0.322404 -1.276127) + (xy 0.325946 -1.643993) + (xy 0.330271 -1.965279) + (xy 0.335277 -2.232463) + (xy 0.34086 -2.438026) + (xy 0.34692 -2.574447) + (xy 0.353354 -2.634206) + (xy 0.353779 -2.63525) + (xy 0.363368 -2.667807) + (xy 0.345102 -2.689154) + (xy 0.285636 -2.701626) + (xy 0.171627 -2.707558) + (xy -0.01027 -2.709285) + (xy -0.069554 -2.709333) + (xy -0.529167 -2.709333) + (xy -1.294509 -2.709333) + (xy -1.40915 -2.720302) + (xy -1.495199 -2.737637) + (xy -1.534173 -2.767616) + (xy -1.544723 -2.820072) + (xy -1.545167 -2.8575) + (xy -1.545167 -2.9845) + (xy 0.275167 -2.9845) + (xy 0.716486 -2.984369) + ) (layer "F.SilkS") (width 0.01) (fill solid) (tstamp 0d3bee86-3223-434b-821c-6841b9324f4e)) + (fp_poly (pts + (xy -2.345148 -2.721045) + (xy -2.244151 -2.639159) + (xy -2.204767 -2.520975) + (xy -2.231431 -2.381457) + (xy -2.328579 -2.235573) + (xy -2.345267 -2.218266) + (xy -2.49102 -2.112579) + (xy -2.641541 -2.0712) + (xy -2.777731 -2.096641) + (xy -2.850939 -2.15175) + (xy -2.917827 -2.279728) + (xy -2.916555 -2.420553) + (xy -2.857707 -2.55596) + (xy -2.751867 -2.667684) + (xy -2.609619 -2.737459) + (xy -2.503324 -2.751666) + (xy -2.345148 -2.721045) + ) (layer "F.SilkS") (width 0.01) (fill solid) (tstamp 55ec81f1-eb84-4cbb-922a-3e997df8a725)) + (fp_poly (pts + (xy -3.050124 -0.871424) + (xy -2.874344 -0.793408) + (xy -2.722769 -0.650934) + (xy -2.61225 -0.465215) + (xy -2.55689 -0.265065) + (xy -2.556698 -0.144409) + (xy -2.577414 -0.059051) + (xy -2.62445 0.092844) + (xy -2.693542 0.298865) + (xy -2.78043 0.546598) + (xy -2.880849 0.82363) + (xy -2.985572 1.104424) + (xy -3.127401 1.484572) + (xy -3.238706 1.795745) + (xy -3.321928 2.046954) + (xy -3.379512 2.247208) + (xy -3.4139 2.405517) + (xy -3.427534 2.530891) + (xy -3.422856 2.63234) + (xy -3.413213 2.681806) + (xy -3.353575 2.780754) + (xy -3.249134 2.821964) + (xy -3.114783 2.80774) + (xy -2.965414 2.740387) + (xy -2.81592 2.622207) + (xy -2.77912 2.583718) + (xy -2.680489 2.468823) + (xy -2.605265 2.362232) + (xy -2.540138 2.239967) + (xy -2.471796 2.07805) + (xy -2.414246 1.926471) + (xy -2.337031 1.744528) + (xy -2.269387 1.642104) + (xy -2.225505 1.615266) + (xy -2.176863 1.61578) + (xy -2.160029 1.656581) + (xy -2.167905 1.757901) + (xy -2.169974 1.773598) + (xy -2.228492 2.005113) + (xy -2.336539 2.25066) + (xy -2.480405 2.489499) + (xy -2.646381 2.700885) + (xy -2.820756 2.864076) + (xy -2.939662 2.938141) + (xy -3.146268 2.998009) + (xy -3.362388 2.996878) + (xy -3.556652 2.93611) + (xy -3.601649 2.909409) + (xy -3.72494 2.805294) + (xy -3.833279 2.681079) + (xy -3.840743 2.670316) + (xy -3.900796 2.560393) + (xy -3.932493 2.441198) + (xy -3.934266 2.300457) + (xy -3.904548 2.125896) + (xy -3.841772 1.905243) + (xy -3.74437 1.626223) + (xy -3.688415 1.477275) + (xy -3.503501 0.985401) + (xy -3.348959 0.559272) + (xy -3.22552 0.201113) + (xy -3.133916 -0.086852) + (xy -3.074881 -0.302399) + (xy -3.049146 -0.443305) + (xy -3.048 -0.467359) + (xy -3.075518 -0.611048) + (xy -3.158872 -0.69164) + (xy -3.299265 -0.710009) + (xy -3.368712 -0.70069) + (xy -3.561732 -0.62185) + (xy -3.740122 -0.462136) + (xy -3.901593 -0.224433) + (xy -4.043856 0.08837) + (xy -4.084454 0.202378) + (xy -4.142657 0.359583) + (xy -4.192926 0.450962) + (xy -4.245986 0.493271) + (xy -4.275569 0.501068) + (xy -4.335842 0.503143) + (xy -4.356272 0.470173) + (xy -4.346433 0.380633) + (xy -4.341467 0.352902) + (xy -4.294531 0.190566) + (xy -4.211676 -0.011368) + (xy -4.106984 -0.224173) + (xy -3.994538 -0.419122) + (xy -3.892918 -0.562145) + (xy -3.707263 -0.732416) + (xy -3.493269 -0.843363) + (xy -3.268402 -0.891021) + (xy -3.050124 -0.871424) + ) (layer "F.SilkS") (width 0.01) (fill solid) (tstamp 6b44e6cc-4bb9-43ff-9381-60422e9949cc)) + ) + + (footprint "Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical" (layer "F.Cu") + (tedit 59FED6E3) (tstamp 00000000-0000-0000-0000-0000618876fe) + (at 131.191 109.601 180) + (descr "Through hole straight pin header, 1x02, 1.27mm pitch, single row") + (tags "Through hole pin header THT 1x02 1.27mm single row") + (path "/00000000-0000-0000-0000-0000618a4b78") + (attr through_hole) + (fp_text reference "J4" (at 0 -1.695) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp fba50532-74d8-42f9-84f4-7489970bf851) ) - (fp_text value P (at 0.508 1.778 90) (layer Cmts.User) + (fp_text value "Conn_01x02_Male" (at 0 2.965) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0305310b-0b57-4a6a-b7a1-8fa99afb4df9) ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) + (fp_text user "${REFERENCE}" (at 0 0.635 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a87dc0cf-7639-467e-832b-46fb16d74c8d) ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 20 /Sheet617F9D74/out)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 19 /Sheet617FA69D/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 5 /B)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.563471 0.76) (end 1.11 0.76) (layer "F.SilkS") (width 0.12) (tstamp 1d8ed1db-669b-4b5c-846d-bef09ad78367)) + (fp_line (start 0.30753 1.965) (end 1.11 1.965) (layer "F.SilkS") (width 0.12) (tstamp 26470e35-6362-4b4f-b5d7-e1f8b64bcef5)) + (fp_line (start -1.11 0.76) (end -0.563471 0.76) (layer "F.SilkS") (width 0.12) (tstamp 2fa2e693-a3b5-4948-9905-0631190257f2)) + (fp_line (start -1.11 0.76) (end -1.11 1.965) (layer "F.SilkS") (width 0.12) (tstamp 376e171c-7e48-450c-8ec2-06f2c1d7ffaa)) + (fp_line (start 1.11 0.76) (end 1.11 1.965) (layer "F.SilkS") (width 0.12) (tstamp 713d958c-a6a1-47ca-9fac-48f5ba089d85)) + (fp_line (start -1.11 -0.76) (end 0 -0.76) (layer "F.SilkS") (width 0.12) (tstamp 9fed2d4a-f943-4bb3-8a07-70d4d2c2f3f5)) + (fp_line (start -1.11 1.965) (end -0.30753 1.965) (layer "F.SilkS") (width 0.12) (tstamp d2c18364-2da5-43b6-8391-743ba8625843)) + (fp_line (start -1.11 0) (end -1.11 -0.76) (layer "F.SilkS") (width 0.12) (tstamp e18ce852-aab6-4b42-8656-d9dcdc1166d6)) + (fp_line (start 1.55 -1.15) (end -1.55 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp d77d62e6-08ec-4649-a6ff-5ca8321b5811)) + (fp_line (start -1.55 -1.15) (end -1.55 2.45) (layer "F.CrtYd") (width 0.05) (tstamp e3d8e73c-a4cf-4301-ace6-b367b65f442a)) + (fp_line (start 1.55 2.45) (end 1.55 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp f0e6884e-b5ba-4c1f-ab32-a2a84ca11c92)) + (fp_line (start -1.55 2.45) (end 1.55 2.45) (layer "F.CrtYd") (width 0.05) (tstamp f4d1e869-000d-4368-a9f8-04cbeac4b85f)) + (fp_line (start 1.05 -0.635) (end 1.05 1.905) (layer "F.Fab") (width 0.1) (tstamp 1c9023d6-77d6-4fe5-88d0-13ab791b911d)) + (fp_line (start 1.05 1.905) (end -1.05 1.905) (layer "F.Fab") (width 0.1) (tstamp 4601cca5-468d-41a2-a75d-70f280054dba)) + (fp_line (start -1.05 -0.11) (end -0.525 -0.635) (layer "F.Fab") (width 0.1) (tstamp 7c9f505b-bbac-4472-a3ba-1b18ded025b8)) + (fp_line (start -1.05 1.905) (end -1.05 -0.11) (layer "F.Fab") (width 0.1) (tstamp 9775dacd-30c5-48fb-a814-773c9d291e6f)) + (fp_line (start -0.525 -0.635) (end 1.05 -0.635) (layer "F.Fab") (width 0.1) (tstamp ca6603a0-9164-4488-9e7b-7c8384f87552)) + (pad "1" thru_hole rect locked (at 0 0 180) (size 1 1) (drill 0.65) (layers *.Cu *.Mask) + (net 3 "/A") (tstamp ee74a9d0-0ecb-4d56-964e-400bd3aac874)) + (pad "2" thru_hole oval locked (at 0 1.27 180) (size 1 1) (drill 0.65) (layers *.Cu *.Mask) + (net 5 "/B") (tstamp 71650848-42c5-4bab-8b2c-6c7e7840dcdb)) + (model "${KISYS3DMOD}/Connector_PinHeader_1.27mm.3dshapes/PinHeader_1x02_P1.27mm_Vertical.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E86B5) - (at 140.081 103.6955 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617FA69E/6181BDCD) - (attr smd) - (fp_text reference Q4 (at 0 -1.7 90) (layer F.Fab) + (footprint "Connector_PinHeader_1.27mm:PinHeader_1x01_P1.27mm_Vertical" (layer "F.Cu") + (tedit 59FED6E3) (tstamp 00000000-0000-0000-0000-000061891e4e) + (at 131.572 79.375 90) + (descr "Through hole straight pin header, 1x01, 1.27mm pitch, single row") + (tags "Through hole pin header THT 1x01 1.27mm single row") + (path "/00000000-0000-0000-0000-00006188503e") + (attr through_hole) + (fp_text reference "J3" (at 0 -1.695 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 68784a21-cb9e-42e3-905c-c82241476fee) ) - (fp_text value P (at 0.508 1.778 90) (layer Cmts.User) + (fp_text value "Conn_01x01" (at 0 1.695 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) + (tstamp 16512b04-22fe-4906-a026-8291535f046f) ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9b2ba4b5-341c-401d-9cad-2e4a5dd9dcc5) ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 18 /Sheet617F77F7/out)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 19 /Sheet617FA69D/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 4 /Cin)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.563471 0.76) (end 1.11 0.76) (layer "F.SilkS") (width 0.12) (tstamp 1f38b153-7ce1-42b8-aa9b-3cbe409f16af)) + (fp_line (start -1.11 0.76) (end 1.11 0.76) (layer "F.SilkS") (width 0.12) (tstamp 2572e3aa-c040-46f8-90d8-10b1baa2d4e7)) + (fp_line (start -1.11 0.76) (end -0.563471 0.76) (layer "F.SilkS") (width 0.12) (tstamp 2d3b4d23-4532-46c1-9e9a-495839fdc66a)) + (fp_line (start -1.11 0) (end -1.11 -0.76) (layer "F.SilkS") (width 0.12) (tstamp 35dca700-b4c2-49b2-a898-f26ef4deea4c)) + (fp_line (start -1.11 -0.76) (end 0 -0.76) (layer "F.SilkS") (width 0.12) (tstamp 44f9dd98-f61c-4841-b91d-d6aecf72bf90)) + (fp_line (start -1.11 0.76) (end -1.11 0.695) (layer "F.SilkS") (width 0.12) (tstamp 68b08d65-c668-4fbc-8c39-8c8bf566c39e)) + (fp_line (start 1.11 0.76) (end 1.11 0.695) (layer "F.SilkS") (width 0.12) (tstamp b0065100-9abf-4312-a346-4cea1943b9c1)) + (fp_line (start 1.55 -1.15) (end -1.55 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp 0c2d7a21-68c7-4863-ae06-4f250b831d91)) + (fp_line (start -1.55 -1.15) (end -1.55 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 3381d812-99db-4c1c-a5ef-5306d19b1b68)) + (fp_line (start -1.55 1.15) (end 1.55 1.15) (layer "F.CrtYd") (width 0.05) (tstamp ae720325-1768-416a-9384-da07be32f7f6)) + (fp_line (start 1.55 1.15) (end 1.55 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp d925a9c2-a050-4cd7-b489-51fd34660414)) + (fp_line (start -1.05 -0.11) (end -0.525 -0.635) (layer "F.Fab") (width 0.1) (tstamp 47bec77c-391a-4948-80e3-4444cbf1b7c2)) + (fp_line (start 1.05 0.635) (end -1.05 0.635) (layer "F.Fab") (width 0.1) (tstamp c73a611b-09e6-4361-8d41-279961c346bb)) + (fp_line (start -0.525 -0.635) (end 1.05 -0.635) (layer "F.Fab") (width 0.1) (tstamp efb9d711-30a4-4ae1-be0d-82eadbdf1763)) + (fp_line (start 1.05 -0.635) (end 1.05 0.635) (layer "F.Fab") (width 0.1) (tstamp fad789da-caa1-4150-924d-c4e0a8b6da55)) + (fp_line (start -1.05 0.635) (end -1.05 -0.11) (layer "F.Fab") (width 0.1) (tstamp fc1a5e46-f855-41f6-a14a-3af5ca7c8e5e)) + (pad "1" thru_hole rect locked (at 0 0 90) (size 1 1) (drill 0.65) (layers *.Cu *.Mask) + (net 63 "/S") (tstamp 801393f6-046c-4351-88bb-cba5355e4b58)) + (model "${KISYS3DMOD}/Connector_PinHeader_1.27mm.3dshapes/PinHeader_1x01_P1.27mm_Vertical.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E83FA) - (at 135.001 98.8695 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617F49C9/6181BDCD) - (attr smd) - (fp_text reference Q3 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0.508 1.778 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 2 VCC)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 17 /Sheet617F49C8/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 3 /A)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E8A21) - (at 135.001 103.6955 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617F496C/6181BDCD) - (attr smd) - (fp_text reference Q2 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0.508 1.75 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 2 VCC)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 16 /Sheet617F1E56/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 5 /B)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E83D4) - (at 135.001 108.5215 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617F1E57/6181BDCD) - (attr smd) - (fp_text reference Q1 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value P (at 0.508 1.778 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 2 VCC)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 16 /Sheet617F1E56/drain)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 3 /A)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617E760C) - (at 149.875 99.3775 270) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617aac9f) + (at 137.541 99.3775 -90) (descr "SOT-353, SC-70-5") (tags "SOT-353 SC-70-5") - (path /61802BAA/617F83E0) + (path "/00000000-0000-0000-0000-0000617f9d75/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference U5 (at 0 2 90) (layer F.Fab) + (fp_text reference "U2" (at 0 2 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b919b04a-f9c7-471b-9f2f-0cb7665ea75b) ) - (fp_text value B (at 0 -2 270) (layer Cmts.User) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f5adab9c-5ba6-4908-952a-e1ab85d7db3f) ) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_text user %R (at 0 0 180) (layer F.Fab) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp f679c65b-e9f7-4324-86fd-65b50f8128e5) ) - (pad 5 smd rect (at 0.95 0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 22 /sheet61802BA6/out)) - (pad 2 smd rect (at -0.95 0 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 24 /sheet618020C7/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 0718d7f9-eb96-4b3b-89e8-c68a5d061833)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 120d0f00-2ca8-4387-8e09-32b4b7151e6a)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 72071dbb-daf0-420f-938a-af1f3c3c8627)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp c5cf1505-98eb-446c-b3c8-810df2d6736a)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp c9e9ab3a-bb5f-4958-80e2-de79b903cc68)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp f5a7b20e-017f-4275-845b-ea9f82577190)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 7c36d27a-908a-4c85-abf5-b684444bb1ea)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 859e96cf-43c0-4de2-a0a1-7e4228ad736f)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp ab0f850b-52c0-4922-a580-ed900398c2f2)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp c7132ab6-5421-499d-a054-1f879e4bff37)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp cdea2b5d-0abe-4c56-9c00-b14b42a4ad30)) + (pad "1" smd rect locked (at -0.95 0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 17 "/Sheet617F49C8/drain") (tstamp f75a4261-2e5c-428a-900c-a739e2882ff9)) + (pad "2" smd rect locked (at -0.95 0 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 35fab888-3f5b-433c-a8a0-c6c652c884d6)) + (pad "3" smd rect locked (at -0.95 -0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 58dc1713-5b15-4aba-9ba4-4215ee32600f)) + (pad "4" smd rect locked (at 0.95 -0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 20 "/Sheet617F9D74/out") (tstamp a5f439a3-f31f-4ddf-88b5-1b2085b4e558)) + (pad "5" smd rect locked (at 0.95 0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp 052af332-2a8b-4ffe-bde9-3df0e5b85d61)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617E7575) - (at 154.305 100.1395 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /618020CC/618000C0) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617aacb4) + (at 143.637 97.5995 -90) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (path "/00000000-0000-0000-0000-0000617fb4ec/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference R10 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference "U3" (at 0 2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 69b2ed87-d80b-4dad-9a2c-1a7567c16eae) ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 211b55a5-96c9-4875-ad3e-c549dcc8bcc5) ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 83a3bbd4-c71b-43b8-9818-f1384b63d59a) ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 15 "Net-(D10-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 24 /sheet618020C7/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 129d500f-c825-4225-8611-d168b476ccfb)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp c654ed77-8fa4-4d5a-8302-402483946d09)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 0f45842d-fba4-4701-b4cc-3699c416fb5b)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 3fe78ccd-550e-44bc-973c-bcafce4193dd)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 56c4463d-0d11-4868-bc80-07af02b34cbe)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp e949f695-15e5-4a07-ba3b-d014d6e73073)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 33ca52b5-3a3c-4029-9ef7-2a6488d4a6f4)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 360b5b36-7fc2-44a0-a7da-b1ce777edd9c)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 88d71349-d0e4-4a15-88f5-1cd13ac4adf0)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp d0f1593c-7dc6-47f9-959c-2675dbab5e88)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp f92e645d-7854-4706-af66-ef2df5b809f2)) + (pad "1" smd rect locked (at -0.95 0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 19 "/Sheet617FA69D/drain") (tstamp 9995aef2-3769-4a44-bd5d-d91ac14c3f51)) + (pad "2" smd rect locked (at -0.95 0 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp cea82fe7-f909-479c-ac34-d382d8775a80)) + (pad "3" smd rect locked (at -0.95 -0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 7e90e78b-a7ca-42d5-bed1-7a6cae6acec3)) + (pad "4" smd rect locked (at 0.95 -0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 27 "/Coutinv") (tstamp a6cf4628-cdd5-460f-a933-e06839dabd15)) + (pad "5" smd rect locked (at 0.95 0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp c82acdee-27db-4b83-b0e1-c3b3a0fdca57)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617E75A5) - (at 154.305 104.9655 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /61801B8B/618000C0) - (attr smd) - (fp_text reference R9 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 "Net-(D9-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 23 /sheet61801675/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617E7719) - (at 154.305 109.7915 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /6180167A/618000C0) - (attr smd) - (fp_text reference R8 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 13 "Net-(D8-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 23 /sheet61801675/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617F8AF5) - (at 149.225 100.1415 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /61801128/618000C0) - (attr smd) - (fp_text reference R7 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 12 "Net-(D7-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 19 /Sheet617FA69D/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617E7779) - (at 149.225 104.9655 270) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617FD278/618000C0) - (attr smd) - (fp_text reference R6 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 "Net-(D6-Pad1)")) - (pad 1 smd roundrect (at -0.51 0 270) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 19 /Sheet617FA69D/drain)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E7541) - (at 152.527 98.8695 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /618020CC/617FD6EC) - (attr smd) - (fp_text reference Q10 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0.508 -1.778 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 24 /sheet618020C7/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 3 /A)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E76AF) - (at 152.527 103.6955 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /61801B8B/617FD6EC) - (attr smd) - (fp_text reference Q9 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0.508 -1.778 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 23 /sheet61801675/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 5 /B)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E76E5) - (at 152.599 108.5215 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /6180167A/617FD6EC) - (attr smd) - (fp_text reference Q8 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0.569 -1.85 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 23 /sheet61801675/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 3 /A)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E74F3) - (at 147.447 98.8695 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /61801128/617FD6EC) - (attr smd) - (fp_text reference Q7 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0.508 -1.778 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 19 /Sheet617FA69D/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 22 /sheet61802BA6/out)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 5 /B)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-523 (layer F.Cu) (tedit 5E27725A) (tstamp 617E72D4) - (at 147.447 103.6955 90) - (descr "SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf") - (tags SOT-523) - (path /617FD278/617FD6EC) - (attr smd) - (fp_text reference Q6 (at 0 -1.7 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value N (at 0.508 -1.778 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -0.4 -0.45) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.9) (end 0.65 -0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.65 0.9) (end -0.65 0.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.4 0.8) (end 0.4 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 0.4 -0.8) (end -0.05 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 -0.45) (end -0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.8) (end 0.4 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.15 -1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.15 -1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end 1.15 -1.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.15 1.05) (end -1.15 1.05) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.0625))) - ) - (pad 2 smd rect (at -0.645 0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 19 /Sheet617FA69D/drain)) - (pad 3 smd rect (at 0.645 0 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 21 /sheet618026B1/out)) - (pad 1 smd rect (at -0.645 -0.5 270) (size 0.51 0.4) (layers F.Cu F.Paste F.Mask) - (net 4 /Cin)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-523.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E75D6) - (at 152.504 100.6475) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /618020CC/617FF64C) - (attr smd) - (fp_text reference D10 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0.023 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 3 /A)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 15 "Net-(D10-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E750D) - (at 152.527 105.4735) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /61801B8B/617FF64C) - (attr smd) - (fp_text reference D9 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /B)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 "Net-(D9-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E767B) - (at 152.55 110.2995) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /6180167A/617FF64C) - (attr smd) - (fp_text reference D8 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 3 /A)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 13 "Net-(D8-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E74A4) - (at 147.47 100.6475) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /61801128/617FF64C) - (attr smd) - (fp_text reference D7 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /B)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 12 "Net-(D7-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E77AA) - (at 147.447 105.4735) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617FD278/617FF64C) - (attr smd) - (fp_text reference D6 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 4 /Cin)) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 "Net-(D6-Pad1)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617AACC9) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617aacc9) (at 149.987 103.9495 90) (descr "SOT-353, SC-70-5") (tags "SOT-353 SC-70-5") - (path /618026B5/617F83E0) + (path "/00000000-0000-0000-0000-0000618026b5/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference U4 (at 0 2 90) (layer F.Fab) + (fp_text reference "U4" (at 0 2 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 891d9db1-a1a5-4d62-9dca-f3624ddfd968) ) - (fp_text value B (at 0 -2 270) (layer Cmts.User) + (fp_text value "B" (at 0 -2 270) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7aac9075-fcd4-41c4-935f-64ade6780103) ) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_text user %R (at 0 0 180) (layer F.Fab) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 3176a569-7e3a-49fb-aaad-741fd1cb4fba) ) - (pad 5 smd rect (at 0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 21 /sheet618026B1/out)) - (pad 2 smd rect (at -0.95 0 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 90) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 23 /sheet61801675/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 7bc6b38e-e394-4d1d-b9c9-634e86010ae8)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp d9175c0d-b93a-49b8-9000-e782af5656b8)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 22d7c867-8c5c-4133-bcea-b044f41c0e94)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 40fabf1d-10f5-40bf-b651-880aaa7e058a)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 52e2d550-7826-490d-9955-32f362f36daa)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp f8983e63-394d-4e2b-b4f2-078b61ecf217)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 5c3f263a-03ec-4761-8612-28f4d40b0f2c)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 6bbd6c3a-fd41-4f77-a1e8-fdaa7b8eac4b)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 7289a6e5-0508-4388-b932-f338488b0af5)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 7cf98f27-2e0e-485c-b8fc-571d13a662ae)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp a79dbc93-aabb-48ce-8802-e7645443176f)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 23 "/sheet61801675/drain") (tstamp 97ef060d-11ea-44bb-9005-d7f3065fc1da)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 6d32b6d9-ff98-4957-a24a-5a9cf7ba4fca)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp da9bb063-04a1-4b8d-a737-9c3656b1b0fb)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 21 "/sheet618026B1/out") (tstamp 4d21a83a-38e7-45ae-ad3a-3cf7534f0be0)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp 78074c75-b113-486a-b28c-def8b6af9acf)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617AACB4) - (at 143.637 97.5995 270) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617e760c) + (at 149.875 99.3775 -90) (descr "SOT-353, SC-70-5") (tags "SOT-353 SC-70-5") - (path /617FB4EC/617F83E0) + (path "/00000000-0000-0000-0000-000061802baa/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference U3 (at 0 2 90) (layer F.Fab) + (fp_text reference "U5" (at 0 2 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c7fb32cd-01ec-4573-b365-540b8bd619fe) ) - (fp_text value B (at 0 -2 270) (layer Cmts.User) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c37f55a6-3381-40b4-87bd-2bc2cc3ddf71) ) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_text user %R (at 0 0 180) (layer F.Fab) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 90b45587-da60-4a21-be31-cbf965fa9b69) ) - (pad 5 smd rect (at 0.95 0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 27 /Coutinv)) - (pad 2 smd rect (at -0.95 0 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 19 /Sheet617FA69D/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 3c538653-1b9c-4bad-b7dc-2e47d68beca1)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp ec94ebd2-f37a-4291-b95f-a7c954ce0e3f)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 0c3922df-8252-4220-8e49-01d02682cc51)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 2179241a-b158-406a-8d40-264188fd4545)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 5c754f71-12b1-4fd3-a473-d15c38d09e61)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp ae3b4be7-14c9-42d8-b323-9ecc1a94ced9)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 287e4b7e-704b-4654-a756-ac5a6efa1647)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 448d37d1-d977-4704-922d-71cd9cf3e633)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp c8ab4fef-4379-4c48-872b-a07926f4a158)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp d69c90fa-16da-455d-bff0-27c19beffca7)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp f7c34140-a264-4290-aa12-120352191479)) + (pad "1" smd rect locked (at -0.95 0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 24 "/sheet618020C7/drain") (tstamp 9fef9791-ddbe-42db-9634-d8ec504e44ab)) + (pad "2" smd rect locked (at -0.95 0 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 6c987d41-8caf-4fc7-ac59-4f83237752ff)) + (pad "3" smd rect locked (at -0.95 -0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 60abbcc3-f33c-4df4-a62c-da849def136a)) + (pad "4" smd rect locked (at 0.95 -0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 22 "/sheet61802BA6/out") (tstamp 17fec8d4-6876-479d-bb32-14036cc3a576)) + (pad "5" smd rect locked (at 0.95 0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp 364659ad-6b61-4d3c-99a9-34a2e3eb8d52)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617AAC9F) - (at 137.541 99.3775 270) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617e78d3) + (at 137.653 108.9635 -90) (descr "SOT-353, SC-70-5") (tags "SOT-353 SC-70-5") - (path /617F9D75/617F83E0) + (path "/00000000-0000-0000-0000-0000617f77f8/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference U2 (at 0 2 90) (layer F.Fab) + (fp_text reference "U1" (at 0 2 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7b3f4dd2-b0bf-4265-ada6-36666622ce93) ) - (fp_text value B (at 0 -2 270) (layer Cmts.User) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 62a82456-1948-4e84-819c-be7deac24be5) ) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_text user %R (at 0 0 180) (layer F.Fab) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 63ca4e43-3fd4-4642-af48-7bac42f8199c) ) - (pad 5 smd rect (at 0.95 0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 20 /Sheet617F9D74/out)) - (pad 2 smd rect (at -0.95 0 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 17 /Sheet617F49C8/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 0b70c045-51a6-434b-a826-ba4dae92b89c)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 62fdad53-185b-4f7a-86c8-38462618973a)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 112f2d65-5b37-461e-a5dd-64bc86c90721)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 13995a1a-b1cd-4c64-bba2-fd33bdfe9d16)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 88d3c323-d367-4f81-ac1c-81f5b7bada1e)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 8ab0a6ef-1d91-4f03-889c-fb15be54dd75)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 13ca2847-fe22-44e6-a465-41604ea02be7)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 3ff9cf9a-e460-4da1-93f6-9e588df2e5f8)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 79433c8d-f380-4b3c-b1a6-654e3061420b)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp b65a7830-1115-470e-9717-8804ac3f6ebd)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp d9b6dad8-43ba-4d03-997c-0f06c1277b87)) + (pad "1" smd rect locked (at -0.95 0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 16 "/Sheet617F1E56/drain") (tstamp f54fc2c2-46e1-4434-a1e8-23a32647d33f)) + (pad "2" smd rect locked (at -0.95 0 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 45dd9ef7-27c1-4571-ae15-2779e14bf26a)) + (pad "3" smd rect locked (at -0.95 -0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp d7bb68d5-f76a-45a5-a0ea-aeb966f9b616)) + (pad "4" smd rect locked (at 0.95 -0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 18 "/Sheet617F77F7/out") (tstamp dcdaadcf-5959-4c26-b2ce-2e526e3667bf)) + (pad "5" smd rect locked (at 0.95 0.65 270) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp 18c9c3ff-675c-43b7-9fd8-d3a0db946da3)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Package_TO_SOT_SMD:SOT-353_SC-70-5 (layer B.Cu) (tedit 5A02FF57) (tstamp 617E78D3) - (at 137.653 108.9635 270) + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea4ed) + (at 134.493 108.5215 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-000061844219") + (attr smd) + (fp_text reference "R11" (at 0 1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9605fa91-c93a-4068-9fc6-9f1ab80bbcbf) + ) + (fp_text value "10k" (at 0 -1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3fae078d-a42a-44c7-8349-395f4aa13401) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) + (tstamp 295e007e-bf89-4314-bd13-4eec9b917c33) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "B.SilkS") (width 0.12) (tstamp 58b5d331-0a32-4908-b002-8929a2284938)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "B.SilkS") (width 0.12) (tstamp ac8c27ee-b9e0-4bf6-ba29-2b42026a165e)) + (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp 304af66c-bb63-400b-b557-f70fb43621d8)) + (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp 5c8702c7-32ea-4372-bb9b-a2ce1a5a61e2)) + (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp 977148b6-3f47-4a8c-afd4-6e585d9c6978)) + (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp c04147f8-b6f2-46d3-985c-96c11bb367c8)) + (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 61935e71-307c-42fb-979d-1d48aab545e1)) + (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp 96b6e944-9223-421f-bea6-efa2b3c9eb58)) + (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp fc0057b8-9c8a-47a7-bd6e-69ff9a9aeb02)) + (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp fd97bd00-0ce8-45ff-b914-3cc899f363b6)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 16 "/Sheet617F1E56/drain") (tstamp 3123d4e9-a640-43e9-95a0-c158864627f9)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (tstamp 078f5f2d-f2d2-4513-875f-b17713281987)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea4fe) + (at 154.051 103.6955 -90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006184a110") + (attr smd) + (fp_text reference "R12" (at 0 1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 88574f69-c2fc-4b19-bccd-cbc20d7d1432) + ) + (fp_text value "10k" (at 0 -1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2a6298c3-7cc3-46c2-9393-6ea27171323f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) + (tstamp 2b8c6316-01d7-4294-b20c-27271f6ca7e9) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "B.SilkS") (width 0.12) (tstamp 01837091-a4c4-45b9-89cd-16a310dee669)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "B.SilkS") (width 0.12) (tstamp bc98673e-5d95-4d50-8af4-6b144c29514e)) + (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp 18ae5161-8f24-4856-aab3-b7147b66a21b)) + (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp 2bcae902-52df-4935-b3e7-2f03348da1a3)) + (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp 337afc7d-b471-40ed-827f-aef0a686dc95)) + (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp d0d8f63a-e45d-4384-ae68-d30e9f003332)) + (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 14fe212a-b778-42d7-8c5f-d0ef5fb50619)) + (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 3e34e2fd-3c3f-47da-bda1-949715278ebe)) + (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp 505bbd58-6240-4dcd-b011-f5b08cfb716b)) + (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp bf6a114d-05a3-48ad-a78e-a3cba4d38d29)) + (pad "1" smd roundrect locked (at -0.51 0 270) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 230609f3-28d6-45bd-b586-fda627f44777)) + (pad "2" smd roundrect locked (at 0.51 0 270) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 23 "/sheet61801675/drain") (tstamp 75039f6b-f209-492a-b5ba-799836f1d0bd)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea50f) + (at 139.827 99.3775 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-000061844a85") + (attr smd) + (fp_text reference "R13" (at 0 1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 71694df9-8fd8-49ba-9490-1f9b1fca3b82) + ) + (fp_text value "10k" (at 0 -1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 69c19651-98b6-4e61-865d-e241646047c0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) + (tstamp ef3105a4-c601-4738-b20a-a22f8ade9d2a) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "B.SilkS") (width 0.12) (tstamp 00145589-cce9-4ecf-83e1-b066a6861e6d)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "B.SilkS") (width 0.12) (tstamp 1b751f11-20f7-4fa8-a268-0a5b70f71b05)) + (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp 41e6333e-9faf-4cdf-8d09-f703f6377948)) + (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp 9cc09f1b-01a1-46b0-878e-43aa93e0d0e3)) + (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp a57e5ed2-71cb-4819-b978-84c475c1b2f6)) + (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp ad7fb122-393d-4631-8d7e-d0da559b9db9)) + (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp 5771cb86-a22f-4c83-800e-91c888945a9e)) + (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 5879fc06-0cdc-4501-94f3-c4216f853675)) + (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 6a02cdaa-c706-4a5a-bfa4-714c9c2d087b)) + (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp b5cd25b3-ea9a-4503-928c-cb37199e2ec4)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (tstamp d25defe5-372f-4d46-b8a1-820dbe2338c0)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 17 "/Sheet617F49C8/drain") (tstamp 95f934d3-e481-486c-9416-876fb1daf05a)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea520) + (at 154.051 100.1395 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006184a7d3") + (attr smd) + (fp_text reference "R14" (at 0 1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 01081cbd-0cae-44b4-bcb7-3e6076189303) + ) + (fp_text value "10k" (at 0 -1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp aa6e40f9-b63d-4b5a-829e-d48167460346) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) + (tstamp defff7a2-5256-4a35-a525-48e791c4810f) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "B.SilkS") (width 0.12) (tstamp 04db8fb3-5a86-4e35-86dc-56dfce2e8d11)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "B.SilkS") (width 0.12) (tstamp 0b313164-f900-4e65-bcb9-ea5506c55ab0)) + (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp 61fe0702-5d57-4af6-90af-716206aa472d)) + (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp 68393655-9e55-4a2d-8366-bfca85ffc0f9)) + (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp 7a1068b4-867e-4fac-b920-7169f06d2e19)) + (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp e5eb3ad9-b59c-47fe-b9a4-7c733ee11b4f)) + (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp 4150804d-e86a-4ae1-9d27-d55b45c1edbe)) + (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 872d913d-755c-40e5-8ba4-f0b840840bfa)) + (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp a0669bcd-59cd-400d-af96-c35c9872c910)) + (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp e8ef1eb4-c2db-4efb-b8c9-cf57d999ef82)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 3fd209e1-705e-4f89-89c0-31e5559df0df)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 24 "/sheet618020C7/drain") (tstamp ebcde483-1851-4dd1-853d-5c3e0531d438)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea531) + (at 135.001 82.3595) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000618c9560") + (attr smd) + (fp_text reference "R15" (at 0 1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7861159b-aa52-4c36-a633-4c863c19d8e6) + ) + (fp_text value "10k" (at 0 -1.17) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp dd4a841d-38d1-4ad7-baed-03bd9da86dc6) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) + (tstamp 430a8843-73fc-4ac5-b995-3fac6218ba4b) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "B.SilkS") (width 0.12) (tstamp 532a38cd-b1a5-48f3-a615-28107294047f)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "B.SilkS") (width 0.12) (tstamp f622810d-1895-4c60-81d6-f4ef20029059)) + (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp a5b3cc56-9ce8-44e6-ae15-765c1104e3cf)) + (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp e5c72242-ede6-4696-89f5-c77ac16000e1)) + (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp f2ca13ab-f453-449e-b348-46cdaae05836)) + (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp f43b6475-0612-4865-8f45-9562416e3dcc)) + (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp 67930898-d6db-4d50-8ddb-d37b527d44f9)) + (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 990c2c83-c955-4611-b89c-e6e8bcf99a39)) + (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp b940a7b6-a2f4-462b-98c8-ebde5f50809a)) + (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp faabba89-21e4-4166-9b1a-ae1dca2d967b)) + (pad "1" smd roundrect locked (at -0.51 0) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (tstamp ba7d5cba-0fe5-4db0-8835-18803f178eb6)) + (pad "2" smd roundrect locked (at 0.51 0) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 54 "/sheet6188A621/drain") (tstamp 5513b5d0-8198-4fdd-a055-950bae59254e)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea542) + (at 140.081 83.1215) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-0000618c9d18") + (attr smd) + (fp_text reference "R16" (at 0 1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5d7df030-b1d1-412a-b1d7-ef2f840d86b7) + ) + (fp_text value "10k" (at 0 -1.17) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ae12d00c-1bee-4e66-9427-ff619d0d39a0) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) + (tstamp 317efc2e-9605-4714-9ee5-62056a42e188) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "B.SilkS") (width 0.12) (tstamp 7c945383-a560-4eef-916b-7082eaba52d9)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "B.SilkS") (width 0.12) (tstamp c0494d37-b45c-4ff4-8cc5-1b14cb6b92fd)) + (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp 324d1fdb-4fae-4b69-967d-b4938a438d33)) + (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp cbfa38b5-e404-4658-8288-6480306516fc)) + (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp de14a1c6-75b0-4613-8c8a-c727257b9e30)) + (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp e8d87178-e0e0-4040-a8b2-129620b3ac65)) + (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 1ff64d6a-4197-4c68-bbac-56a1ae255500)) + (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 3b4da1e6-6847-4c13-a7c2-b8682a879e68)) + (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp 76fc3fed-177e-4098-a598-831796d24a29)) + (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp ec380850-6106-427b-84e4-2f85b8452286)) + (pad "1" smd roundrect locked (at -0.51 0) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (tstamp 875c464d-1850-4776-88fb-008d96552d68)) + (pad "2" smd roundrect locked (at 0.51 0) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 56 "/sheet6188F5B8/drain") (tstamp f768ba38-bb9d-4e3f-a36b-766e9fe6fece)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea553) + (at 147.447 82.6135) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-000061950579") + (attr smd) + (fp_text reference "R17" (at 0 1.17) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3388ea5a-c117-40a7-9581-3532f2f59e6c) + ) + (fp_text value "10k" (at 0 -1.17) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9316b1b4-0fa8-44cb-bc20-4a2153bafc9d) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) + (tstamp 6cd62c50-8e83-47b5-90bd-96363c12f1e8) + ) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "B.SilkS") (width 0.12) (tstamp 56fee1d0-7b28-4aad-892d-18cf1901842b)) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "B.SilkS") (width 0.12) (tstamp ce7707aa-d101-48b1-9b87-1730dd9802e2)) + (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp 5b74f913-b1bb-4a19-aeea-46f531b4b176)) + (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp 87a99d2d-31c5-4352-a6de-3e8bc12ecb77)) + (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp bc7fdc7a-ee3e-4831-ae25-0f06d7ba8b19)) + (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp dc9223b8-ca59-4d87-985e-ea79a3795461)) + (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp 70e14fa9-aaea-43a2-a401-6b7562a5f56f)) + (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp e36174d2-5dfd-485c-8f4b-31f6ca55406e)) + (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp e6fa9409-cc9d-496c-9546-1db68c932f8d)) + (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp f0cee122-5ccc-47b7-ab3c-927932e4bc1a)) + (pad "1" smd roundrect locked (at -0.51 0) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 59 "/sheet619022FA/drain") (tstamp d8571a49-720f-45be-83b8-eb1f746fec1e)) + (pad "2" smd roundrect locked (at 0.51 0) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 9e2d3bcb-286e-469a-a3dc-bd2a5f62369d)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0402_1005Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-0000617ea564) + (at 154.305 79.8195 90) + (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (path "/00000000-0000-0000-0000-00006194ff3b") + (attr smd) + (fp_text reference "R18" (at 0 1.17 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f132dfaf-292d-498f-944c-738c02bea3f5) + ) + (fp_text value "10k" (at 0 -1.17 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 099849b6-9c9e-4935-93d1-e2fdbe44226c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.26 0.26) (thickness 0.04)) (justify mirror)) + (tstamp 578b4775-0c97-4d47-aa15-9cdcdcdf444b) + ) + (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer "B.SilkS") (width 0.12) (tstamp 95a96174-8353-4e6f-b246-46e77d7c0330)) + (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer "B.SilkS") (width 0.12) (tstamp ca239288-9c3e-45c3-8bd4-600e534a8707)) + (fp_line (start -0.93 -0.47) (end -0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp 0b14d647-0072-4067-8f95-380f46e3c441)) + (fp_line (start 0.93 -0.47) (end -0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp 13e1c5e4-6681-48b7-a5d3-6e93b953e5eb)) + (fp_line (start -0.93 0.47) (end 0.93 0.47) (layer "B.CrtYd") (width 0.05) (tstamp 3735c1be-b9fc-43bd-9373-5f101deb2fdb)) + (fp_line (start 0.93 0.47) (end 0.93 -0.47) (layer "B.CrtYd") (width 0.05) (tstamp 3924f060-40c7-4fae-b0bc-f9fa3b587892)) + (fp_line (start 0.525 0.27) (end 0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp 0bb583ec-6c86-4c4b-99cf-925d261e7a02)) + (fp_line (start -0.525 -0.27) (end -0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp 4e4c49cc-8c6b-4132-9ced-c50cdbbed2e8)) + (fp_line (start 0.525 -0.27) (end -0.525 -0.27) (layer "B.Fab") (width 0.1) (tstamp d0343dee-3a09-4ae7-9399-d6fe73d06f39)) + (fp_line (start -0.525 0.27) (end 0.525 0.27) (layer "B.Fab") (width 0.1) (tstamp e1ce99db-2fa6-43ad-abe1-b097f8e885e5)) + (pad "1" smd roundrect locked (at -0.51 0 90) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 61 "/sheet619022FB/drain") (tstamp 232ebc11-bb3f-4b1b-a6e8-2d49f79288a1)) + (pad "2" smd roundrect locked (at 0.51 0 90) (size 0.54 0.64) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (tstamp 40b71c61-edfc-4cf5-9932-d0fce6543666)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617ea7cb) + (at 139.827 89.7255 90) (descr "SOT-353, SC-70-5") (tags "SOT-353 SC-70-5") - (path /617F77F8/617F83E0) + (path "/00000000-0000-0000-0000-0000617f0bfe/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference U1 (at 0 2 90) (layer F.Fab) + (fp_text reference "U6" (at 0 2 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a9dc6751-18a8-4289-9cb3-c3203d035415) ) - (fp_text value B (at 0 -2 270) (layer Cmts.User) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2c49101f-31b3-4b82-96d3-254120872d4f) ) - (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer B.Fab) (width 0.1)) - (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer B.Fab) (width 0.1)) - (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer B.CrtYd) (width 0.05)) - (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer B.SilkS) (width 0.12)) - (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer B.SilkS) (width 0.12)) - (fp_text user %R (at 0 0 180) (layer F.Fab) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 7b9b1fde-1e76-4da3-9a3e-3e8617d416b8) ) - (pad 5 smd rect (at 0.95 0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 2 VCC)) - (pad 4 smd rect (at 0.95 -0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 18 /Sheet617F77F7/out)) - (pad 2 smd rect (at -0.95 0 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 25 CK)) - (pad 3 smd rect (at -0.95 -0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 1 GND)) - (pad 1 smd rect (at -0.95 0.65 270) (size 0.65 0.4) (layers B.Cu B.Paste B.Mask) - (net 16 /Sheet617F1E56/drain)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 45e765ec-c7f9-4640-83c6-0184f6436dee)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 4d36abc7-9423-4879-ab19-7e975b7a3268)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 5581a7f0-9627-4525-b476-b0bbb3a020ad)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp dba7c0bb-800e-4638-aaa4-cae6ebe948cb)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp f0e371e6-6667-42e1-bc92-ab506745cc9a)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp fa4908da-0d01-45af-9694-a147c80436ce)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 0e6d01cc-d08c-4f5f-a9c7-9b3c460b9856)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 5d145f88-50a9-48e0-9cea-7359d74ed4e4)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 6368ad63-8cd1-43e4-834b-ad76a5286656)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp a3419fdd-66d8-4f92-ab6b-bf5e1505d27f)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp ca7bf677-9755-4182-8aa0-3ba737491136)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 48 "/sheet617EB753/drain") (tstamp b4b7a215-4ba0-4292-b359-ea0bab3889d6)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 06db00cd-433e-4f7d-b87a-9240f4ccb563)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 28b2344e-bb14-4392-8cd3-ad9ac1003355)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 49 "/sheet617F0BFA/out") (tstamp e0274bbb-3f30-477a-9914-33d66a69ee6a)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp 4fd7c48b-5787-474c-bba4-f25c363ae116)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617AAC75) - (at 141.859 100.1395 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617FA71E/617F3A76) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617ea7e0) + (at 156.845 90.2335 90) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (path "/00000000-0000-0000-0000-00006180f852/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference R5 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference "U7" (at 0 2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e1d4690f-8b89-4420-8ed4-70aa63520047) ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 845ac9cb-b4b7-4e3d-98a1-c0bf8a3a4fb1) ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 5b4082c8-9ae4-4fab-9d4c-4f95b8502b0f) ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 20 /Sheet617F9D74/out)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 10 "Net-(D5-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 5a810c4a-36f1-4f28-b86a-357ddf532628)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 7d667777-98fd-4add-ac9a-7fe73da57862)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 2ae5f7f6-1078-4aa8-92f9-96839ef1d5a1)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 318370b7-f461-4ce6-b789-a4f23b2781ef)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp ae5b3754-a145-46fa-ad6a-efd0abe0aea9)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp cd4011aa-4a55-41e0-9529-725e5efa3509)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 2270c6d3-1bd2-47f5-b7a5-6d4f12399172)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 2bc9c88f-41ae-4981-b212-70390e348f68)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 53ac1466-e5b8-49ab-be35-fffb023f71bb)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 56117603-da24-4203-872f-41f86b20f92b)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 7d70e6ea-dc6a-4992-a6f6-4c5c6acb7da2)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 47 "/sheet617EA114/drain") (tstamp ade66a2f-3a87-4338-aae5-8e90ef34dd4c)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 07cbf55f-8dd2-41eb-825a-6542e16f01a9)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp d740983c-9cae-4034-b9cc-2f312763fa11)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 46 "/Cout") (tstamp 7ade2190-6f9b-4003-874c-f92a5a4edc62)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp 812dc7d6-b5de-4109-8855-57bd672a0463)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617AAC64) - (at 141.859 104.9655 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617FA69E/617F3A76) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617ea7f5) + (at 147.193 89.7255 90) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (path "/00000000-0000-0000-0000-000061827e85/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference R4 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference "U8" (at 0 2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 67985a1a-9c55-4c83-9c78-c0fd2fe821f6) ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 196c8214-f632-47e8-8085-1339793d1fb1) ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 546364fb-1892-4cc9-b58d-a3ebc7f4f31f) ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 18 /Sheet617F77F7/out)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 "Net-(D4-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 247d1a6f-7452-43d0-8c6d-ba6e56a5227e)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 4b72e37f-ca2b-4b07-b573-f8cbc63c5279)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 1e1129f6-8c64-4df1-a4ec-d2a0d2531637)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 622ccdd3-013d-4416-be16-c9d7e8233810)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp cda7eec5-9328-4fdd-9d72-2f7fe5ac9d59)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp e43be26c-d06b-4fae-916b-a5a66b9a7a7d)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 3ddfac27-1aa0-47ba-925d-72af82c3df05)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 6cd88b4a-6828-4814-be86-44ae85a1e6db)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 8b137680-9343-4513-824c-3494502bcc41)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 9de83887-2e5e-4a83-885f-36f41d8aaf17)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp ce36e3f8-4f1c-4110-9de7-6c425c522127)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 52 "/sheet617F3F23/drain") (tstamp 6df7794b-493a-4c88-96ad-c76422e3b052)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 0ff1579d-598a-48f0-8783-74e5a50d36af)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 83248f98-cd68-4180-8841-59dcc7658be2)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 51 "/sheet61827E81/out") (tstamp 727d8e5a-33d1-4aa9-9f13-664248346c93)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp b5a73642-4e29-4346-b046-840fbb0187fe)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617AAC53) - (at 136.779 100.1395 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617F49C9/617F3A76) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617ea834) + (at 139.827 80.0735 90) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (path "/00000000-0000-0000-0000-0000618ad774/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference R3 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference "U11" (at 0 2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3a1b9430-080c-4d58-af10-6a466e869b11) ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ef90f944-e479-4304-ba39-96493ca77ac5) ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 5ebd158a-36ab-4baa-bc5c-f7e92beb0899) ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 8 "Net-(D3-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 2b0be01b-a8a1-443f-a921-889f4deaf9fc)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp c0d67260-ff0f-4e4f-977f-60e803fccc72)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 4f7de027-b3d6-4ba8-b28d-43cb8e6d9581)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 625ecb31-b60e-4687-88f0-7e17e81c7b1c)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 76512e07-2cdc-40e4-9ef2-5ed9ed44ff04)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp d599daf1-54a4-4554-9ecd-5dce0349f820)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 633bc500-34fb-4793-9d13-ac0182ab8c30)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp b1e9cc14-d8a2-4d95-8466-5fb22c4541dd)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp c011ab1c-66c6-419c-aeda-a27a122a5cab)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp ea79d83a-e128-4c7b-9090-84b18e1e2105)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp fc3ca563-9ee8-4a82-9dd8-fb31a91bef4c)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 56 "/sheet6188F5B8/drain") (tstamp 047525e3-cd3f-4db2-ab32-96732d3b0b14)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp ac9b7aac-34fa-46bc-81b1-81a8da26d8f0)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 9351a3e8-e4ff-4233-bc2e-f2427909a4ee)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 57 "/sheet618AD770/out") (tstamp ed6e71b9-20d5-4faf-a165-21de1b494156)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp 97a124b5-0dc1-48a9-88b3-a8fa60d00f66)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617E9A1C) - (at 136.779 104.9655 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617F496C/617F3A76) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617ea849) + (at 143.383 89.7255 90) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (path "/00000000-0000-0000-0000-0000618bdd8a/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference R2 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference "U12" (at 0 2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 15361b81-46e6-4d9d-ab74-e7bf6afdd2db) ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 8e1cdc0c-7b10-4602-a465-8ba9dffe6af6) ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp da0ac8ab-6b7f-4b68-8fed-037492a79fac) ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 "Net-(D2-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 2c09fdb5-a00b-44d5-b98b-611378f705a4)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 9330db01-8983-4a26-b25b-09c6f0037c26)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 12db5ab8-5dbf-4de9-b014-49934b65486c)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 2f56942f-5482-4c24-8ef6-f23a77f93447)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 561e7e9f-da34-476f-a611-012c8be25839)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 9fdf21ef-e8a4-4ce3-8005-d57b471d1c24)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 0a622987-828b-4ad4-89bf-e10730d2a4e7)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 4eb6960e-3272-4449-b41a-0835ffb162fa)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp a70477ea-aee2-4592-80e3-d9a312a759cb)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp d9872dbc-b035-45e5-bb65-a444c96eca38)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp f9bd5ad8-b1ac-4d80-bcc0-7975aa0a4a53)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 50 "/sheet617EF79C/drain") (tstamp 18099bf9-8d6e-44b9-9893-44df2fa10f4f)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 12bbcda2-fd20-48a6-a1c5-1f71b1f6a2bd)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 315d3b74-a3e0-4880-9170-beb45be4447b)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 44 "/Sinv") (tstamp 27d1293c-d260-47bd-9ad3-435338214cc0)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp ea349c69-57fd-458c-a9f2-569d58ec849a)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module Resistor_SMD:R_0402_1005Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 617AB4EC) - (at 136.779 109.7915 90) - (descr "Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /617F1E57/617F3A76) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617ea873) + (at 151.765 80.3275 90) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (path "/00000000-0000-0000-0000-000061921364/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference R1 (at 0 -1.17 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference "U14" (at 0 2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f48f9e99-092c-478e-be22-f0849872754a) ) - (fp_text value 68 (at 0 1.17 90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 39cb355a-317b-45c3-87ee-ff33f87000db) ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.153641 0.38) (end 0.153641 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.153641 -0.38) (end 0.153641 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.525 0.27) (end -0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.525 -0.27) (end 0.525 0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 -0.27) (end 0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.525 0.27) (end -0.525 -0.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.26 0.26) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 15e593f3-6af8-44c5-bf14-e394d966f19a) ) - (pad 2 smd roundrect (at 0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 2 VCC)) - (pad 1 smd roundrect (at -0.51 0 90) (size 0.54 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 6 "Net-(D1-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 2723375f-3b38-4f42-91ee-5101b8646a6b)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp d7a785eb-abee-48fa-8971-da2b81c7b048)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 6ddd53ab-957c-42e3-85a3-019e186e2be4)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 86cafb74-e713-49e5-b0e0-d4eddf1712f7)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp a53b6ed4-80be-4c60-9305-36a88526cdcb)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp f45783eb-5fc9-4aba-b888-efb744a9ccaa)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 349f1cff-599b-4102-bf52-92f267f6b460)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 39541e66-3aca-43c9-a2b8-11c1797397e8)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp d7e2283a-e5de-4d25-846c-4ec7340d3e5c)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp ed9c9ec2-1f1a-4d8d-829f-40d1b2dfd971)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp f979595a-0b36-49c4-bfdf-dbacba1b444a)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 60 "/sheet61921360/in") (tstamp 6b6b805e-374f-433e-b587-4b6876ff2337)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 326c10d5-7588-4de4-9979-c485e21f8cf9)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 750a722e-1ebc-48a1-ae99-4b6c4d86277c)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 61 "/sheet619022FB/drain") (tstamp b25aa9f1-5751-4fad-97b3-661e7706832e)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp e9be2bc4-f4c5-43b8-a60c-deaba3147fca)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617AABC1) - (at 140.104 100.6475) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617FA71E/617F3A70) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617ea888) + (at 129.413 90.6755 90) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (path "/00000000-0000-0000-0000-00006196c12e/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference D5 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference "U15" (at 0 2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2d22ecaa-5ea0-40b3-a42e-0b44f860bdd8) ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 67b1f1d5-524e-4579-a4bc-67fef11218df) ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp cc2e145d-02b8-453c-8dc2-feee0df8af50) ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 10 "Net-(D5-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /B)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 69420e33-5e37-491d-b772-b235d6182a57)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp f4d95efb-f035-4429-b004-842307f12c95)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 9194f44e-f434-4f7f-8139-1689e452b77f)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 9777d638-809d-4a00-9167-cffe2242eb77)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp e03258b7-549e-4be7-95c6-5758d13bf4bc)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp e1fe8409-5727-4979-bc51-900965c0220c)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 0fa741e5-6fc6-4d92-9b9f-d6e79c99e924)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 43812e66-7988-45fa-8ca9-a61d7ac0b8cd)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp a14dc852-9807-4870-8ca6-5644f232d207)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp e53d8b64-01e1-4ac9-84c3-36940b16a831)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp e7daa1ca-3e1f-44db-b663-fbc9f18b246d)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 62 "/sheet6196C11E/drain") (tstamp d46a640c-2a00-4add-a185-a99f4b733827)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp 2845037d-9a53-42d9-8791-b4a369778e66)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 715506dc-a2e4-4f84-9ddb-2a875d6619c9)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 63 "/S") (tstamp e22d5938-570a-4239-94f4-13109041ed9b)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp d8c56bb8-5e11-41c7-ae22-4d140aec88ef)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617E8818) - (at 140.081 105.4735) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617FA69E/617F3A70) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617efa6e) + (at 135.001 80.0735 90) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (path "/00000000-0000-0000-0000-00006189e1b8/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference D4 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference "U10" (at 0 2 270) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e6660e0f-dbb6-4379-9467-cc22f6334fdf) ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value "B" (at 0 -2 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b653f26a-4790-4685-aed6-75610941b042) ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 0ab11eb3-fede-40fa-867b-70f5fc0627de) ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 "Net-(D4-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 4 /Cin)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 53dbeb59-4640-4f91-99f4-675436ab3fa9)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 58900de9-0987-447e-858a-5187288f0fec)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 371a8830-07a4-4783-a451-d9df30298000)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 81febd30-14c2-4325-abf0-11c442e3ab63)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 9f6393c5-3a0f-4784-b3a1-85f3af4f3437)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp f8dda69e-a605-4a5a-8999-ebd601b2b370)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 06168cd1-98b0-49f6-960c-b0e08101634b)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 333ecfb4-070e-4792-82e6-008d58e4911a)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 761de867-0ebe-44d2-9a56-4d283d4a0295)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 9fc9246d-c1e1-4e99-b05d-186c94df75bd)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp ad4129e8-60c1-4039-bc9b-8fe28532da2e)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 54 "/sheet6188A621/drain") (tstamp c2e71421-71ef-44f8-818e-059ef5e73b9c)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp ff4c8f7e-ff11-452b-ab34-327c64b3065d)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp 42fe1d9b-e36d-4e79-bd78-18cbd6ff121d)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 55 "/sheet6189E1B4/out") (tstamp c73d603c-829f-464d-beea-1ad1a9376194)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp 3813747f-9b51-4b39-8312-1b6325015450)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617AAB9D) - (at 135.001 100.6475) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617F49C9/617F3A70) + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "B.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-0000617f8956) + (at 146.939 80.0735 90) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (path "/00000000-0000-0000-0000-00006191b1a4/00000000-0000-0000-0000-0000617f83e0") (attr smd) - (fp_text reference D3 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference "U13" (at 0 2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 71f84b44-8144-4990-aea1-42438df1f1c0) ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value "B" (at 0 -2 -90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp bec0834e-4d07-435b-bbe0-78c06df8f4ec) ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + (tstamp 613490e8-951c-49db-8c17-4d71ad783bd4) ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 8 "Net-(D3-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 3 /A)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.7 -1.16) (end 0.7 -1.16) (layer "B.SilkS") (width 0.12) (tstamp 3160f351-7686-445c-8852-1e0834cc8e3c)) + (fp_line (start 0.7 1.16) (end -1.2 1.16) (layer "B.SilkS") (width 0.12) (tstamp 6549abb4-3450-426f-93ab-ff1efff02e22)) + (fp_line (start 1.6 -1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 029094db-2887-43bd-8232-4b992d491e45)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 24409bfb-5ba0-43c2-ae50-135f466e75df)) + (fp_line (start -1.6 1.4) (end -1.6 -1.4) (layer "B.CrtYd") (width 0.05) (tstamp 52db0779-8823-4fc6-a019-c6ff178a896d)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "B.CrtYd") (width 0.05) (tstamp 5749555b-cae2-4793-a89d-212b95b0ba9d)) + (fp_line (start -0.675 0.6) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp 08c76cb4-e5c4-4eb4-be34-3b21737c349d)) + (fp_line (start -0.175 1.1) (end -0.675 0.6) (layer "B.Fab") (width 0.1) (tstamp 0fd2b204-ca47-4411-aa40-a56b3c9fa572)) + (fp_line (start 0.675 1.1) (end -0.175 1.1) (layer "B.Fab") (width 0.1) (tstamp 69e7218f-f436-4bad-a8de-2e9b87ec9df9)) + (fp_line (start 0.675 1.1) (end 0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp ade402df-00e6-490c-8992-ecc75b4e0acd)) + (fp_line (start 0.675 -1.1) (end -0.675 -1.1) (layer "B.Fab") (width 0.1) (tstamp f46e03ce-ee62-4e57-9cd4-25a3e8c2ed9e)) + (pad "1" smd rect locked (at -0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 58 "/sheet6191B1A0/in") (tstamp b2b30b24-227e-48cf-87e8-bd0a6c4cfb77)) + (pad "2" smd rect locked (at -0.95 0 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "CK") (tstamp edf03654-42ca-461e-874f-41f15dc9a62b)) + (pad "3" smd rect locked (at -0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (tstamp de73868a-ea20-4b6a-8a47-0ec2c67d76f1)) + (pad "4" smd rect locked (at 0.95 -0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 59 "/sheet619022FA/drain") (tstamp d0dcc313-38d2-4cf7-b4a2-45d75aacd897)) + (pad "5" smd rect locked (at 0.95 0.65 90) (size 0.65 0.4) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "VCC") (tstamp ed031594-223c-4981-b2c2-e9f8e0dd6f85)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617AAB8B) - (at 135.001 105.4735) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617F496C/617F3A70) - (attr smd) - (fp_text reference D2 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (footprint "Symbol:KiCad-Logo2_5mm_SilkScreen" (layer "B.Cu") + (tedit 0) (tstamp 00000000-0000-0000-0000-000061874d8f) + (at 148.3995 110.109 180) + (descr "KiCad Logo") + (tags "Logo KiCad") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "REF**" (at 0 5.08) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a8ed8ca0-a5eb-49bc-9b6c-3aa07ca2c739) ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 "Net-(D2-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /B)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (fp_text value "KiCad-Logo2_5mm_SilkScreen" (at 0 -5.08) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp fa91f251-2f13-49c5-9259-c0703bec25b9) ) + (fp_poly (pts + (xy 0.328429 2.050929) + (xy 0.48857 2.029755) + (xy 0.65251 1.989615) + (xy 0.822313 1.930111) + (xy 1.000043 1.850846) + (xy 1.01131 1.845301) + (xy 1.069005 1.817275) + (xy 1.120552 1.793198) + (xy 1.162191 1.774751) + (xy 1.190162 1.763614) + (xy 1.199733 1.761067) + (xy 1.21895 1.756059) + (xy 1.223561 1.751853) + (xy 1.218458 1.74142) + (xy 1.202418 1.715132) + (xy 1.177288 1.675743) + (xy 1.144914 1.626009) + (xy 1.107143 1.568685) + (xy 1.065822 1.506524) + (xy 1.022798 1.442282) + (xy 0.979917 1.378715) + (xy 0.939026 1.318575) + (xy 0.901971 1.26462) + (xy 0.8706 1.219603) + (xy 0.846759 1.186279) + (xy 0.832294 1.167403) + (xy 0.830309 1.165213) + (xy 0.820191 1.169862) + (xy 0.79785 1.187038) + (xy 0.76728 1.21356) + (xy 0.751536 1.228036) + (xy 0.655047 1.303318) + (xy 0.548336 1.358759) + (xy 0.432832 1.393859) + (xy 0.309962 1.40812) + (xy 0.240561 1.406949) + (xy 0.119423 1.389788) + (xy 0.010205 1.353906) + (xy -0.087418 1.299041) + (xy -0.173772 1.22493) + (xy -0.249185 1.131312) + (xy -0.313982 1.017924) + (xy -0.351399 0.931333) + (xy -0.395252 0.795634) + (xy -0.427572 0.64815) + (xy -0.448443 0.492686) + (xy -0.457949 0.333044) + (xy -0.456173 0.173027) + (xy -0.443197 0.016439) + (xy -0.419106 -0.132918) + (xy -0.383982 -0.27124) + (xy -0.337908 -0.394724) + (xy -0.321627 -0.428978) + (xy -0.25338 -0.543064) + (xy -0.172921 -0.639557) + (xy -0.08143 -0.71767) + (xy 0.019911 -0.776617) + (xy 0.12992 -0.815612) + (xy 0.247415 -0.833868) + (xy 0.288883 -0.835211) + (xy 0.410441 -0.82429) + (xy 0.530878 -0.791474) + (xy 0.648666 -0.737439) + (xy 0.762277 -0.662865) + (xy 0.853685 -0.584539) + (xy 0.900215 -0.540008) + (xy 1.081483 -0.837271) + (xy 1.12658 -0.911433) + (xy 1.167819 -0.979646) + (xy 1.203735 -1.039459) + (xy 1.232866 -1.08842) + (xy 1.25375 -1.124079) + (xy 1.264924 -1.143984) + (xy 1.266375 -1.147079) + (xy 1.258146 -1.156718) + (xy 1.232567 -1.173999) + (xy 1.192873 -1.197283) + (xy 1.142297 -1.224934) + (xy 1.084074 -1.255315) + (xy 1.021437 -1.28679) + (xy 0.957621 -1.317722) + (xy 0.89586 -1.346473) + (xy 0.839388 -1.371408) + (xy 0.791438 -1.390889) + (xy 0.767986 -1.399318) + (xy 0.634221 -1.437133) + (xy 0.496327 -1.462136) + (xy 0.348622 -1.47514) + (xy 0.221833 -1.477468) + (xy 0.153878 -1.476373) + (xy 0.088277 -1.474275) + (xy 0.030847 -1.471434) + (xy -0.012597 -1.468106) + (xy -0.026702 -1.466422) + (xy -0.165716 -1.437587) + (xy -0.307243 -1.392468) + (xy -0.444725 -1.33375) + (xy -0.571606 -1.26412) + (xy -0.649111 -1.211441) + (xy -0.776519 -1.103239) + (xy -0.894822 -0.976671) + (xy -1.001828 -0.834866) + (xy -1.095348 -0.680951) + (xy -1.17319 -0.518053) + (xy -1.217044 -0.400756) + (xy -1.267292 -0.217128) + (xy -1.300791 -0.022581) + (xy -1.317551 0.178675) + (xy -1.317584 0.382432) + (xy -1.300899 0.584479) + (xy -1.267507 0.780608) + (xy -1.21742 0.966609) + (xy -1.213603 0.978197) + (xy -1.150719 1.14025) + (xy -1.073972 1.288168) + (xy -0.980758 1.426135) + (xy -0.868473 1.558339) + (xy -0.824608 1.603601) + (xy -0.688466 1.727543) + (xy -0.548509 1.830085) + (xy -0.402589 1.912344) + (xy -0.248558 1.975436) + (xy -0.084268 2.020477) + (xy 0.011289 2.037967) + (xy 0.170023 2.053534) + (xy 0.328429 2.050929) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 01eb055f-4885-47ef-8337-41abdf8b62bb)) + (fp_poly (pts + (xy -1.300114 -2.273448) + (xy -1.276548 -2.287273) + (xy -1.245735 -2.309881) + (xy -1.206078 -2.342338) + (xy -1.15598 -2.385708) + (xy -1.093843 -2.441058) + (xy -1.018072 -2.509451) + (xy -0.931334 -2.588084) + (xy -0.750711 -2.751878) + (xy -0.745067 -2.532029) + (xy -0.743029 -2.456351) + (xy -0.741063 -2.399994) + (xy -0.738734 -2.359706) + (xy -0.735606 -2.332235) + (xy -0.731245 -2.314329) + (xy -0.725216 -2.302737) + (xy -0.717084 -2.294208) + (xy -0.712772 -2.290623) + (xy -0.678241 -2.27167) + (xy -0.645383 -2.274441) + (xy -0.619318 -2.290633) + (xy -0.592667 -2.312199) + (xy -0.589352 -2.627151) + (xy -0.588435 -2.719779) + (xy -0.587968 -2.792544) + (xy -0.588113 -2.848161) + (xy -0.589032 -2.889342) + (xy -0.590887 -2.918803) + (xy -0.593839 -2.939255) + (xy -0.59805 -2.953413) + (xy -0.603682 -2.963991) + (xy -0.609927 -2.972474) + (xy -0.623439 -2.988207) + (xy -0.636883 -2.998636) + (xy -0.652124 -3.002639) + (xy -0.671026 -2.999094) + (xy -0.695455 -2.986879) + (xy -0.727273 -2.964871) + (xy -0.768348 -2.931949) + (xy -0.820542 -2.886991) + (xy -0.885722 -2.828875) + (xy -0.959556 -2.762099) + (xy -1.224845 -2.521458) + (xy -1.230489 -2.740589) + (xy -1.232531 -2.816128) + (xy -1.234502 -2.872354) + (xy -1.236839 -2.912524) + (xy -1.239981 -2.939896) + (xy -1.244364 -2.957728) + (xy -1.250424 -2.969279) + (xy -1.2586 -2.977807) + (xy -1.262784 -2.981282) + (xy -1.299765 -3.000372) + (xy -1.334708 -2.997493) + (xy -1.365136 -2.9731) + (xy -1.372097 -2.963286) + (xy -1.377523 -2.951826) + (xy -1.381603 -2.935968) + (xy -1.384529 -2.912963) + (xy -1.386492 -2.880062) + (xy -1.387683 -2.834516) + (xy -1.388292 -2.773573) + (xy -1.388511 -2.694486) + (xy -1.388534 -2.635956) + (xy -1.38846 -2.544407) + (xy -1.388113 -2.472687) + (xy -1.387301 -2.418045) + (xy -1.385833 -2.377732) + (xy -1.383519 -2.348998) + (xy -1.380167 -2.329093) + (xy -1.375588 -2.315268) + (xy -1.369589 -2.304772) + (xy -1.365136 -2.298811) + (xy -1.35385 -2.284691) + (xy -1.343301 -2.274029) + (xy -1.331893 -2.267892) + (xy -1.31803 -2.267343) + (xy -1.300114 -2.273448) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 02bf24f1-4d5e-4eec-b9e3-40cf8d750af6)) + (fp_poly (pts + (xy 2.673574 1.133448) + (xy 2.825492 1.113433) + (xy 2.960756 1.079798) + (xy 3.080239 1.032275) + (xy 3.184815 0.970595) + (xy 3.262424 0.907035) + (xy 3.331265 0.832901) + (xy 3.385006 0.753129) + (xy 3.42791 0.660909) + (xy 3.443384 0.617839) + (xy 3.456244 0.578858) + (xy 3.467446 0.542711) + (xy 3.47712 0.507566) + (xy 3.485396 0.47159) + (xy 3.492403 0.43295) + (xy 3.498272 0.389815) + (xy 3.503131 0.340351) + (xy 3.50711 0.282727) + (xy 3.51034 0.215109) + (xy 3.512949 0.135666) + (xy 3.515067 0.042564) + (xy 3.516824 -0.066027) + (xy 3.518349 -0.191942) + (xy 3.519772 -0.337012) + (xy 3.521025 -0.479778) + (xy 3.522351 -0.635968) + (xy 3.523556 -0.771239) + (xy 3.524766 -0.887246) + (xy 3.526106 -0.985645) + (xy 3.5277 -1.068093) + (xy 3.529675 -1.136246) + (xy 3.532156 -1.19176) + (xy 3.535269 -1.236292) + (xy 3.539138 -1.271498) + (xy 3.543889 -1.299034) + (xy 3.549648 -1.320556) + (xy 3.556539 -1.337722) + (xy 3.564689 -1.352186) + (xy 3.574223 -1.365606) + (xy 3.585266 -1.379638) + (xy 3.589566 -1.385071) + (xy 3.605386 -1.40791) + (xy 3.612422 -1.423463) + (xy 3.612444 -1.423922) + (xy 3.601567 -1.426121) + (xy 3.570582 -1.428147) + (xy 3.521957 -1.429942) + (xy 3.458163 -1.431451) + (xy 3.381669 -1.432616) + (xy 3.294944 -1.43338) + (xy 3.200457 -1.433686) + (xy 3.18955 -1.433689) + (xy 2.766657 -1.433689) + (xy 2.763395 -1.337622) + (xy 2.760133 -1.241556) + (xy 2.698044 -1.292543) + (xy 2.600714 -1.360057) + (xy 2.490813 -1.414749) + (xy 2.404349 -1.444978) + (xy 2.335278 -1.459666) + (xy 2.251925 -1.469659) + (xy 2.162159 -1.474646) + (xy 2.073845 -1.474313) + (xy 1.994851 -1.468351) + (xy 1.958622 -1.462638) + (xy 1.818603 -1.424776) + (xy 1.692178 -1.369932) + (xy 1.58026 -1.298924) + (xy 1.483762 -1.212568) + (xy 1.4036 -1.111679) + (xy 1.340687 -0.997076) + (xy 1.296312 -0.870984) + (xy 1.283978 -0.814401) + (xy 1.276368 -0.752202) + (xy 1.272739 -0.677363) + (xy 1.272245 -0.643467) + (xy 1.27231 -0.640282) + (xy 2.032248 -0.640282) + (xy 2.041541 -0.715333) + (xy 2.069728 -0.77916) + (xy 2.118197 -0.834798) + (xy 2.123254 -0.839211) + (xy 2.171548 -0.874037) + (xy 2.223257 -0.89662) + (xy 2.283989 -0.90854) + (xy 2.359352 -0.911383) + (xy 2.377459 -0.910978) + (xy 2.431278 -0.908325) + (xy 2.471308 -0.902909) + (xy 2.506324 -0.892745) + (xy 2.545103 -0.87585) + (xy 2.555745 -0.870672) + (xy 2.616396 -0.834844) + (xy 2.663215 -0.792212) + (xy 2.675952 -0.776973) + (xy 2.720622 -0.720462) + (xy 2.720622 -0.524586) + (xy 2.720086 -0.445939) + (xy 2.718396 -0.387988) + (xy 2.715428 -0.348875) + (xy 2.711057 -0.326741) + (xy 2.706972 -0.320274) + (xy 2.691047 -0.317111) + (xy 2.657264 -0.314488) + (xy 2.61034 -0.312655) + (xy 2.554993 -0.311857) + (xy 2.546106 -0.311842) + (xy 2.42533 -0.317096) + (xy 2.32266 -0.333263) + (xy 2.236106 -0.360961) + (xy 2.163681 -0.400808) + (xy 2.108751 -0.447758) + (xy 2.064204 -0.505645) + (xy 2.03948 -0.568693) + (xy 2.032248 -0.640282) + (xy 1.27231 -0.640282) + (xy 1.274178 -0.549712) + (xy 1.282522 -0.470812) + (xy 1.298768 -0.39959) + (xy 1.324405 -0.328864) + (xy 1.348401 -0.276493) + (xy 1.40702 -0.181196) + (xy 1.485117 -0.09317) + (xy 1.580315 -0.014017) + (xy 1.690238 0.05466) + (xy 1.81251 0.111259) + (xy 1.944755 0.154179) + (xy 2.009422 0.169118) + (xy 2.145604 0.191223) + (xy 2.294049 0.205806) + (xy 2.445505 0.212187) + (xy 2.572064 0.210555) + (xy 2.73395 0.203776) + (xy 2.72653 0.262755) + (xy 2.707238 0.361908) + (xy 2.676104 0.442628) + (xy 2.632269 0.505534) + (xy 2.574871 0.551244) + (xy 2.503048 0.580378) + (xy 2.415941 0.593553) + (xy 2.312686 0.591389) + (xy 2.274711 0.587388) + (xy 2.13352 0.56222) + (xy 1.996707 0.521186) + (xy 1.902178 0.483185) + (xy 1.857018 0.46381) + (xy 1.818585 0.44824) + (xy 1.792234 0.438595) + (xy 1.784546 0.436548) + (xy 1.774802 0.445626) + (xy 1.758083 0.474595) + (xy 1.734232 0.523783) + (xy 1.703093 0.593516) + (xy 1.664507 0.684121) + (xy 1.65791 0.699911) + (xy 1.627853 0.772228) + (xy 1.600874 0.837575) + (xy 1.578136 0.893094) + (xy 1.560806 0.935928) + (xy 1.550048 0.963219) + (xy 1.546941 0.972058) + (xy 1.55694 0.976813) + (xy 1.583217 0.98209) + (xy 1.611489 0.985769) + (xy 1.641646 0.990526) + (xy 1.689433 0.999972) + (xy 1.750612 1.01318) + (xy 1.820946 1.029224) + (xy 1.896194 1.04718) + (xy 1.924755 1.054203) + (xy 2.029816 1.079791) + (xy 2.11748 1.099853) + (xy 2.192068 1.115031) + (xy 2.257903 1.125965) + (xy 2.319307 1.133296) + (xy 2.380602 1.137665) + (xy 2.44611 1.139713) + (xy 2.504128 1.140111) + (xy 2.673574 1.133448) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 1d54c692-5199-4cff-b76a-ef1898c80f0c)) + (fp_poly (pts + (xy 6.186507 0.527755) + (xy 6.186526 0.293338) + (xy 6.186552 0.080397) + (xy 6.186625 -0.112168) + (xy 6.186782 -0.285459) + (xy 6.187064 -0.440576) + (xy 6.187509 -0.57862) + (xy 6.188156 -0.700692) + (xy 6.189045 -0.807894) + (xy 6.190213 -0.901326) + (xy 6.191701 -0.98209) + (xy 6.193546 -1.051286) + (xy 6.195789 -1.110015) + (xy 6.198469 -1.159379) + (xy 6.201623 -1.200478) + (xy 6.205292 -1.234413) + (xy 6.209513 -1.262286) + (xy 6.214327 -1.285198) + (xy 6.219773 -1.304249) + (xy 6.225888 -1.32054) + (xy 6.232712 -1.335173) + (xy 6.240285 -1.349249) + (xy 6.248645 -1.363868) + (xy 6.253839 -1.372974) + (xy 6.288104 -1.433689) + (xy 5.429955 -1.433689) + (xy 5.429955 -1.337733) + (xy 5.429224 -1.29437) + (xy 5.427272 -1.261205) + (xy 5.424463 -1.243424) + (xy 5.423221 -1.241778) + (xy 5.411799 -1.248662) + (xy 5.389084 -1.266505) + (xy 5.366385 -1.285879) + (xy 5.3118 -1.326614) + (xy 5.242321 -1.367617) + (xy 5.16527 -1.405123) + (xy 5.087965 -1.435364) + (xy 5.057113 -1.445012) + (xy 4.988616 -1.459578) + (xy 4.905764 -1.469539) + (xy 4.816371 -1.474583) + (xy 4.728248 -1.474396) + (xy 4.649207 -1.468666) + (xy 4.611511 -1.462858) + (xy 4.473414 -1.424797) + (xy 4.346113 -1.367073) + (xy 4.230292 -1.290211) + (xy 4.126637 -1.194739) + (xy 4.035833 -1.081179) + (xy 3.969031 -0.970381) + (xy 3.914164 -0.853625) + (xy 3.872163 -0.734276) + (xy 3.842167 -0.608283) + (xy 3.823311 -0.471594) + (xy 3.814732 -0.320158) + (xy 3.814006 -0.242711) + (xy 3.8161 -0.185934) + (xy 4.645217 -0.185934) + (xy 4.645424 -0.279002) + (xy 4.648337 -0.366692) + (xy 4.654 -0.443772) + (xy 4.662455 -0.505009) + (xy 4.665038 -0.51735) + (xy 4.69684 -0.624633) + (xy 4.738498 -0.711658) + (xy 4.790363 -0.778642) + (xy 4.852781 -0.825805) + (xy 4.9261 -0.853365) + (xy 5.010669 -0.861541) + (xy 5.106835 -0.850551) + (xy 5.170311 -0.834829) + (xy 5.219454 -0.816639) + (xy 5.273583 -0.790791) + (xy 5.314244 -0.767089) + (xy 5.3848 -0.720721) + (xy 5.3848 0.42947) + (xy 5.317392 0.473038) + (xy 5.238867 0.51396) + (xy 5.154681 0.540611) + (xy 5.069557 0.552535) + (xy 4.988216 0.549278) + (xy 4.91538 0.530385) + (xy 4.883426 0.514816) + (xy 4.825501 0.471819) + (xy 4.776544 0.415047) + (xy 4.73539 0.342425) + (xy 4.700874 0.251879) + (xy 4.671833 0.141334) + (xy 4.670552 0.135467) + (xy 4.660381 0.073212) + (xy 4.652739 -0.004594) + (xy 4.64767 -0.09272) + (xy 4.645217 -0.185934) + (xy 3.8161 -0.185934) + (xy 3.821857 -0.029895) + (xy 3.843802 0.165941) + (xy 3.879786 0.344668) + (xy 3.929759 0.506155) + (xy 3.993668 0.650274) + (xy 4.071462 0.776894) + (xy 4.163089 0.885885) + (xy 4.268497 0.977117) + (xy 4.313662 1.008068) + (xy 4.414611 1.064215) + (xy 4.517901 1.103826) + (xy 4.627989 1.127986) + (xy 4.74933 1.137781) + (xy 4.841836 1.136735) + (xy 4.97149 1.125769) + (xy 5.084084 1.103954) + (xy 5.182875 1.070286) + (xy 5.271121 1.023764) + (xy 5.319986 0.989552) + (xy 5.349353 0.967638) + (xy 5.371043 0.952667) + (xy 5.379253 0.948267) + (xy 5.380868 0.959096) + (xy 5.382159 0.989749) + (xy 5.383138 1.037474) + (xy 5.383817 1.099521) + (xy 5.38421 1.173138) + (xy 5.38433 1.255573) + (xy 5.384188 1.344075) + (xy 5.383797 1.435893) + (xy 5.383171 1.528276) + (xy 5.38232 1.618472) + (xy 5.38126 1.703729) + (xy 5.380001 1.781297) + (xy 5.378556 1.848424) + (xy 5.376938 1.902359) + (xy 5.375161 1.94035) + (xy 5.374669 1.947333) + (xy 5.367092 2.017749) + (xy 5.355531 2.072898) + (xy 5.337792 2.120019) + (xy 5.311682 2.166353) + (xy 5.305415 2.175933) + (xy 5.280983 2.212622) + (xy 6.186311 2.212622) + (xy 6.186507 0.527755) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 1feeeb77-5606-4c2f-9383-a527c1c2a998)) + (fp_poly (pts + (xy -2.273043 2.973429) + (xy -2.176768 2.949191) + (xy -2.090184 2.906359) + (xy -2.015373 2.846581) + (xy -1.954418 2.771506) + (xy -1.909399 2.68278) + (xy -1.883136 2.58647) + (xy -1.877286 2.489205) + (xy -1.89214 2.395346) + (xy -1.92584 2.307489) + (xy -1.976528 2.22823) + (xy -2.042345 2.160164) + (xy -2.121434 2.105888) + (xy -2.211934 2.067998) + (xy -2.2632 2.055574) + (xy -2.307698 2.048053) + (xy -2.341999 2.045081) + (xy -2.37496 2.046906) + (xy -2.415434 2.053775) + (xy -2.448531 2.06075) + (xy -2.541947 2.092259) + (xy -2.625619 2.143383) + (xy -2.697665 2.212571) + (xy -2.7562 2.298272) + (xy -2.770148 2.325511) + (xy -2.786586 2.361878) + (xy -2.796894 2.392418) + (xy -2.80246 2.42455) + (xy -2.804669 2.465693) + (xy -2.804948 2.511778) + (xy -2.800861 2.596135) + (xy -2.787446 2.665414) + (xy -2.762256 2.726039) + (xy -2.722846 2.784433) + (xy -2.684298 2.828698) + (xy -2.612406 2.894516) + (xy -2.537313 2.939947) + (xy -2.454562 2.96715) + (xy -2.376928 2.977424) + (xy -2.273043 2.973429) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 297e7123-dea8-4aac-b38b-9f5be2f31936)) + (fp_poly (pts + (xy 3.744665 -2.271034) + (xy 3.764255 -2.278035) + (xy 3.76501 -2.278377) + (xy 3.791613 -2.298678) + (xy 3.80627 -2.319561) + (xy 3.809138 -2.329352) + (xy 3.808996 -2.342361) + (xy 3.804961 -2.360895) + (xy 3.796146 -2.387257) + (xy 3.781669 -2.423752) + (xy 3.760645 -2.472687) + (xy 3.732188 -2.536365) + (xy 3.695415 -2.617093) + (xy 3.675175 -2.661216) + (xy 3.638625 -2.739985) + (xy 3.604315 -2.812423) + (xy 3.573552 -2.87588) + (xy 3.547648 -2.927708) + (xy 3.52791 -2.965259) + (xy 3.51565 -2.985884) + (xy 3.513224 -2.988733) + (xy 3.482183 -3.001302) + (xy 3.447121 -2.999619) + (xy 3.419 -2.984332) + (xy 3.417854 -2.983089) + (xy 3.406668 -2.966154) + (xy 3.387904 -2.93317) + (xy 3.363875 -2.88838) + (xy 3.336897 -2.836032) + (xy 3.327201 -2.816742) + (xy 3.254014 -2.67015) + (xy 3.17424 -2.829393) + (xy 3.145767 -2.884415) + (xy 3.11935 -2.932132) + (xy 3.097148 -2.968893) + (xy 3.081319 -2.991044) + (xy 3.075954 -2.995741) + (xy 3.034257 -3.002102) + (xy 2.999849 -2.988733) + (xy 2.989728 -2.974446) + (xy 2.972214 -2.942692) + (xy 2.948735 -2.896597) + (xy 2.92072 -2.839285) + (xy 2.889599 -2.77388) + (xy 2.856799 -2.703507) + (xy 2.82375 -2.631291) + (xy 2.791881 -2.560355) + (xy 2.762619 -2.493825) + (xy 2.737395 -2.434826) + (xy 2.717636 -2.386481) + (xy 2.704772 -2.351915) + (xy 2.700231 -2.334253) + (xy 2.700277 -2.333613) + (xy 2.711326 -2.311388) + (xy 2.73341 -2.288753) + (xy 2.73471 -2.287768) + (xy 2.761853 -2.272425) + (xy 2.786958 -2.272574) + (xy 2.796368 -2.275466) + (xy 2.807834 -2.281718) + (xy 2.82001 -2.294014) + (xy 2.834357 -2.314908) + (xy 2.852336 -2.346949) + (xy 2.875407 -2.392688) + (xy 2.90503 -2.454677) + (xy 2.931745 -2.511898) + (xy 2.96248 -2.578226) + (xy 2.990021 -2.637874) + (xy 3.012938 -2.687725) + (xy 3.029798 -2.724664) + (xy 3.039173 -2.745573) + (xy 3.04054 -2.748845) + (xy 3.046689 -2.743497) + (xy 3.060822 -2.721109) + (xy 3.081057 -2.684946) + (xy 3.105515 -2.638277) + (xy 3.115248 -2.619022) + (xy 3.148217 -2.554004) + (xy 3.173643 -2.506654) + (xy 3.193612 -2.474219) + (xy 3.21021 -2.453946) + (xy 3.225524 -2.443082) + (xy 3.24164 -2.438875) + (xy 3.252143 -2.4384) + (xy 3.27067 -2.440042) + (xy 3.286904 -2.446831) + (xy 3.303035 -2.461566) + (xy 3.321251 -2.487044) + (xy 3.343739 -2.526061) + (xy 3.372689 -2.581414) + (xy 3.388662 -2.612903) + (xy 3.41457 -2.663087) + (xy 3.437167 -2.704704) + (xy 3.454458 -2.734242) + (xy 3.46445 -2.748189) + (xy 3.465809 -2.74877) + (xy 3.472261 -2.737793) + (xy 3.486708 -2.70929) + (xy 3.507703 -2.666244) + (xy 3.533797 -2.611638) + (xy 3.563546 -2.548454) + (xy 3.57818 -2.517071) + (xy 3.61625 -2.436078) + (xy 3.646905 -2.373756) + (xy 3.671737 -2.328071) + (xy 3.692337 -2.296989) + (xy 3.710298 -2.278478) + (xy 3.72721 -2.270504) + (xy 3.744665 -2.271034) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 3ed42bf0-401b-4901-93b3-dca6ac266cb8)) + (fp_poly (pts + (xy 6.228823 -2.274533) + (xy 6.260202 -2.296776) + (xy 6.287911 -2.324485) + (xy 6.287911 -2.63392) + (xy 6.287838 -2.725799) + (xy 6.287495 -2.79784) + (xy 6.286692 -2.85278) + (xy 6.285241 -2.89336) + (xy 6.282952 -2.922317) + (xy 6.279636 -2.942391) + (xy 6.275105 -2.956321) + (xy 6.269169 -2.966845) + (xy 6.264514 -2.9731) + (xy 6.233783 -2.997673) + (xy 6.198496 -3.000341) + (xy 6.166245 -2.985271) + (xy 6.155588 -2.976374) + (xy 6.148464 -2.964557) + (xy 6.144167 -2.945526) + (xy 6.141991 -2.914992) + (xy 6.141228 -2.868662) + (xy 6.141155 -2.832871) + (xy 6.141155 -2.698045) + (xy 5.644444 -2.698045) + (xy 5.644444 -2.8207) + (xy 5.643931 -2.876787) + (xy 5.641876 -2.915333) + (xy 5.637508 -2.941361) + (xy 5.630056 -2.959897) + (xy 5.621047 -2.9731) + (xy 5.590144 -2.997604) + (xy 5.555196 -3.000506) + (xy 5.521738 -2.983089) + (xy 5.512604 -2.973959) + (xy 5.506152 -2.961855) + (xy 5.501897 -2.943001) + (xy 5.499352 -2.91362) + (xy 5.498029 -2.869937) + (xy 5.497443 -2.808175) + (xy 5.497375 -2.794) + (xy 5.496891 -2.677631) + (xy 5.496641 -2.581727) + (xy 5.496723 -2.504177) + (xy 5.497231 -2.442869) + (xy 5.498262 -2.39569) + (xy 5.499913 -2.36053) + (xy 5.502279 -2.335276) + (xy 5.505457 -2.317817) + (xy 5.509544 -2.306041) + (xy 5.514634 -2.297835) + (xy 5.520266 -2.291645) + (xy 5.552128 -2.271844) + (xy 5.585357 -2.274533) + (xy 5.616735 -2.296776) + (xy 5.629433 -2.311126) + (xy 5.637526 -2.326978) + (xy 5.642042 -2.349554) + (xy 5.644006 -2.384078) + (xy 5.644444 -2.435776) + (xy 5.644444 -2.551289) + (xy 6.141155 -2.551289) + (xy 6.141155 -2.432756) + (xy 6.141662 -2.378148) + (xy 6.143698 -2.341275) + (xy 6.148035 -2.317307) + (xy 6.155447 -2.301415) + (xy 6.163733 -2.291645) + (xy 6.195594 -2.271844) + (xy 6.228823 -2.274533) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 4e6e3022-7020-4fe6-93a4-88ae6640bc7d)) + (fp_poly (pts + (xy -2.9464 2.510946) + (xy -2.935535 2.397007) + (xy -2.903918 2.289384) + (xy -2.853015 2.190385) + (xy -2.784293 2.102316) + (xy -2.699219 2.027484) + (xy -2.602232 1.969616) + (xy -2.495964 1.929995) + (xy -2.38895 1.911427) + (xy -2.2833 1.912566) + (xy -2.181125 1.93207) + (xy -2.084534 1.968594) + (xy -1.995638 2.020795) + (xy -1.916546 2.087327) + (xy -1.849369 2.166848) + (xy -1.796217 2.258013) + (xy -1.759199 2.359477) + (xy -1.740427 2.469898) + (xy -1.738489 2.519794) + (xy -1.738489 2.607733) + (xy -1.68656 2.607733) + (xy -1.650253 2.604889) + (xy -1.623355 2.593089) + (xy -1.596249 2.569351) + (xy -1.557867 2.530969) + (xy -1.557867 0.339398) + (xy -1.557876 0.077261) + (xy -1.557908 -0.163241) + (xy -1.557972 -0.383048) + (xy -1.558076 -0.583101) + (xy -1.558227 -0.764344) + (xy -1.558434 -0.927716) + (xy -1.558706 -1.07416) + (xy -1.55905 -1.204617) + (xy -1.559474 -1.320029) + (xy -1.559987 -1.421338) + (xy -1.560597 -1.509484) + (xy -1.561312 -1.58541) + (xy -1.56214 -1.650057) + (xy -1.563089 -1.704367) + (xy -1.564167 -1.74928) + (xy -1.565383 -1.78574) + (xy -1.566745 -1.814687) + (xy -1.568261 -1.837063) + (xy -1.569938 -1.853809) + (xy -1.571786 -1.865868) + (xy -1.573813 -1.87418) + (xy -1.576025 -1.879687) + (xy -1.577108 -1.881537) + (xy -1.581271 -1.888549) + (xy -1.584805 -1.894996) + (xy -1.588635 -1.9009) + (xy -1.593682 -1.906286) + (xy -1.600871 -1.911178) + (xy -1.611123 -1.915598) + (xy -1.625364 -1.919572) + (xy -1.644514 -1.923121) + (xy -1.669499 -1.92627) + (xy -1.70124 -1.929042) + (xy -1.740662 -1.931461) + (xy -1.788686 -1.933551) + (xy -1.846237 -1.935335) + (xy -1.914237 -1.936837) + (xy -1.99361 -1.93808) + (xy -2.085279 -1.939089) + (xy -2.190166 -1.939885) + (xy -2.309196 -1.940494) + (xy -2.44329 -1.940939) + (xy -2.593373 -1.941243) + (xy -2.760367 -1.94143) + (xy -2.945196 -1.941524) + (xy -3.148783 -1.941548) + (xy -3.37205 -1.941525) + (xy -3.615922 -1.94148) + (xy -3.881321 -1.941437) + (xy -3.919704 -1.941432) + (xy -4.186682 -1.941389) + (xy -4.432002 -1.941318) + (xy -4.656583 -1.941213) + (xy -4.861345 -1.941066) + (xy -5.047206 -1.940869) + (xy -5.215088 -1.940616) + (xy -5.365908 -1.9403) + (xy -5.500587 -1.939913) + (xy -5.620044 -1.939447) + (xy -5.725199 -1.938897) + (xy -5.816971 -1.938253) + (xy -5.896279 -1.937511) + (xy -5.964043 -1.936661) + (xy -6.021182 -1.935697) + (xy -6.068617 -1.934611) + (xy -6.107266 -1.933397) + (xy -6.138049 -1.932047) + (xy -6.161885 -1.930555) + (xy -6.179694 -1.928911) + (xy -6.192395 -1.927111) + (xy -6.200908 -1.925145) + (xy -6.205266 -1.923477) + (xy -6.213728 -1.919906) + (xy -6.221497 -1.91727) + (xy -6.228602 -1.914634) + (xy -6.235073 -1.911062) + (xy -6.240939 -1.905621) + (xy -6.246229 -1.897375) + (xy -6.250974 -1.88539) + (xy -6.255202 -1.868731) + (xy -6.258943 -1.846463) + (xy -6.262227 -1.817652) + (xy -6.265083 -1.781363) + (xy -6.26754 -1.736661) + (xy -6.269629 -1.682611) + (xy -6.271378 -1.618279) + (xy -6.272817 -1.54273) + (xy -6.273976 -1.45503) + (xy -6.274883 -1.354243) + (xy -6.275569 -1.239434) + (xy -6.276063 -1.10967) + (xy -6.276395 -0.964015) + (xy -6.276593 -0.801535) + (xy -6.276687 -0.621295) + (xy -6.276708 -0.42236) + (xy -6.276685 -0.203796) + (xy -6.276646 0.035332) + (xy -6.276622 0.29596) + (xy -6.276622 0.338111) + (xy -6.276636 0.601008) + (xy -6.276661 0.842268) + (xy -6.276671 1.062835) + (xy -6.276642 1.263648) + (xy -6.276548 1.445651) + (xy -6.276362 1.609784) + (xy -6.276059 1.756989) + (xy -6.275614 1.888208) + (xy -6.275034 1.998133) + (xy -5.972197 1.998133) + (xy -5.932407 1.940289) + (xy -5.921236 1.924521) + (xy -5.911166 1.910559) + (xy -5.902138 1.897216) + (xy -5.894097 1.883307) + (xy -5.886986 1.867644) + (xy -5.880747 1.849042) + (xy -5.875325 1.826314) + (xy -5.870662 1.798273) + (xy -5.866701 1.763733) + (xy -5.863385 1.721508) + (xy -5.860659 1.670411) + (xy -5.858464 1.609256) + (xy -5.856745 1.536856) + (xy -5.855444 1.452025) + (xy -5.854505 1.353578) + (xy -5.85387 1.240326) + (xy -5.853484 1.111084) + (xy -5.853288 0.964666) + (xy -5.853227 0.799884) + (xy -5.853243 0.615553) + (xy -5.85328 0.410487) + (xy -5.853289 0.287867) + (xy -5.853265 0.070918) + (xy -5.853231 -0.124642) + (xy -5.853243 -0.299999) + (xy -5.853358 -0.456341) + (xy -5.85363 -0.594857) + (xy -5.854118 -0.716734) + (xy -5.854876 -0.82316) + (xy -5.855962 -0.915322) + (xy -5.857431 -0.994409) + (xy -5.85934 -1.061608) + (xy -5.861744 -1.118107) + (xy -5.864701 -1.165093) + (xy -5.868266 -1.203755) + (xy -5.872495 -1.23528) + (xy -5.877446 -1.260855) + (xy -5.883173 -1.28167) + (xy -5.889733 -1.298911) + (xy -5.897183 -1.313765) + (xy -5.905579 -1.327422) + (xy -5.914976 -1.341069) + (xy -5.925432 -1.355893) + (xy -5.931523 -1.364783) + (xy -5.970296 -1.4224) + (xy -5.438732 -1.4224) + (xy -5.315483 -1.422365) + (xy -5.212987 -1.422215) + (xy -5.12942 -1.421878) + (xy -5.062956 -1.421286) + (xy -5.011771 -1.420367) + (xy -4.974041 -1.419051) + (xy -4.94794 -1.417269) + (xy -4.931644 -1.414951) + (xy -4.923328 -1.412026) + (xy -4.921168 -1.408424) + (xy -4.923339 -1.404075) + (xy -4.924535 -1.402645) + (xy -4.949685 -1.365573) + (xy -4.975583 -1.312772) + (xy -4.999192 -1.25077) + (xy -5.007461 -1.224357) + (xy -5.012078 -1.206416) + (xy -5.015979 -1.185355) + (xy -5.019248 -1.159089) + (xy -5.021966 -1.125532) + (xy -5.024215 -1.082599) + (xy -5.026077 -1.028204) + (xy -5.027636 -0.960262) + (xy -5.028972 -0.876688) + (xy -5.030169 -0.775395) + (xy -5.031308 -0.6543) + (xy -5.031685 -0.6096) + (xy -5.032702 -0.484449) + (xy -5.03346 -0.380082) + (xy -5.033903 -0.294707) + (xy -5.03397 -0.226533) + (xy -5.033605 -0.173765) + (xy -5.032748 -0.134614) + (xy -5.031341 -0.107285) + (xy -5.029325 -0.089986) + (xy -5.026643 -0.080926) + (xy -5.023236 -0.078312) + (xy -5.019044 -0.080351) + (xy -5.014571 -0.084667) + (xy -5.004216 -0.097602) + (xy -4.982158 -0.126676) + (xy -4.949957 -0.169759) + (xy -4.909174 -0.224718) + (xy -4.86137 -0.289423) + (xy -4.808105 -0.361742) + (xy -4.75094 -0.439544) + (xy -4.691437 -0.520698) + (xy -4.631155 -0.603072) + (xy -4.571655 -0.684536) + (xy -4.514498 -0.762957) + (xy -4.461245 -0.836204) + (xy -4.413457 -0.902147) + (xy -4.372693 -0.958654) + (xy -4.340516 -1.003593) + (xy -4.318485 -1.034834) + (xy -4.313917 -1.041466) + (xy -4.290996 -1.078369) + (xy -4.264188 -1.126359) + (xy -4.238789 -1.175897) + (xy -4.235568 -1.182577) + (xy -4.21389 -1.230772) + (xy -4.201304 -1.268334) + (xy -4.195574 -1.30416) + (xy -4.194456 -1.3462) + (xy -4.19509 -1.4224) + (xy -3.040651 -1.4224) + (xy -3.131815 -1.328669) + (xy -3.178612 -1.278775) + (xy -3.228899 -1.222295) + (xy -3.274944 -1.168026) + (xy -3.295369 -1.142673) + (xy -3.325807 -1.103128) + (xy -3.365862 -1.049916) + (xy -3.414361 -0.984667) + (xy -3.470135 -0.909011) + (xy -3.532011 -0.824577) + (xy -3.598819 -0.732994) + (xy -3.669387 -0.635892) + (xy -3.742545 -0.534901) + (xy -3.817121 -0.43165) + (xy -3.891944 -0.327768) + (xy -3.965843 -0.224885) + (xy -4.037646 -0.124631) + (xy -4.106184 -0.028636) + (xy -4.170284 0.061473) + (xy -4.228775 0.144064) + (xy -4.280486 0.217508) + (xy -4.324247 0.280176) + (xy -4.358885 0.330439) + (xy -4.38323 0.366666) + (xy -4.396111 0.387229) + (xy -4.397869 0.391332) + (xy -4.38991 0.402658) + (xy -4.369115 0.429838) + (xy -4.336847 0.471171) + (xy -4.29447 0.524956) + (xy -4.243347 0.589494) + (xy -4.184841 0.663082) + (xy -4.120314 0.744022) + (xy -4.051131 0.830612) + (xy -3.978653 0.921152) + (xy -3.904246 1.01394) + (xy -3.844517 1.088298) + (xy -2.833511 1.088298) + (xy -2.827602 1.075341) + (xy -2.813272 1.053092) + (xy -2.812225 1.051609) + (xy -2.793438 1.021456) + (xy -2.773791 0.984625) + (xy -2.769892 0.976489) + (xy -2.766356 0.96806) + (xy -2.76323 0.957941) + (xy -2.760486 0.94474) + (xy -2.758092 0.927062) + (xy -2.756019 0.903516) + (xy -2.754235 0.872707) + (xy -2.752712 0.833243) + (xy -2.751419 0.783731) + (xy -2.750326 0.722777) + (xy -2.749403 0.648989) + (xy -2.748619 0.560972) + (xy -2.747945 0.457335) + (xy -2.74735 0.336684) + (xy -2.746805 0.197626) + (xy -2.746279 0.038768) + (xy -2.745745 -0.140089) + (xy -2.745206 -0.325207) + (xy -2.744772 -0.489145) + (xy -2.744509 -0.633303) + (xy -2.744484 -0.759079) + (xy -2.744765 -0.867871) + (xy -2.745419 -0.961077) + (xy -2.746514 -1.040097) + (xy -2.748118 -1.106328) + (xy -2.750297 -1.16117) + (xy -2.753119 -1.206021) + (xy -2.756651 -1.242278) + (xy -2.760961 -1.271341) + (xy -2.766117 -1.294609) + (xy -2.772185 -1.313479) + (xy -2.779233 -1.329351) + (xy -2.787329 -1.343622) + (xy -2.79654 -1.357691) + (xy -2.80504 -1.370158) + (xy -2.822176 -1.396452) + (xy -2.832322 -1.414037) + (xy -2.833511 -1.417257) + (xy -2.822604 -1.418334) + (xy -2.791411 -1.419335) + (xy -2.742223 -1.420235) + (xy -2.677333 -1.42101) + (xy -2.59903 -1.421637) + (xy -2.509607 -1.422091) + (xy -2.411356 -1.422349) + (xy -2.342445 -1.4224) + (xy -2.237452 -1.42218) + (xy -2.14061 -1.421548) + (xy -2.054107 -1.420549) + (xy -1.980132 -1.419227) + (xy -1.920874 -1.417626) + (xy -1.87852 -1.415791) + (xy -1.85526 -1.413765) + (xy -1.851378 -1.412493) + (xy -1.859076 -1.397591) + (xy -1.867074 -1.38956) + (xy -1.880246 -1.372434) + (xy -1.897485 -1.342183) + (xy -1.909407 -1.317622) + (xy -1.936045 -1.258711) + (xy -1.93912 -0.081845) + (xy -1.942195 1.095022) + (xy -2.387853 1.095022) + (xy -2.48567 1.094858) + (xy -2.576064 1.094389) + (xy -2.65663 1.093653) + (xy -2.724962 1.092684) + (xy -2.778656 1.09152) + (xy -2.815305 1.090197) + (xy -2.832504 1.088751) + (xy -2.833511 1.088298) + (xy -3.844517 1.088298) + (xy -3.82927 1.107278) + (xy -3.75509 1.199463) + (xy -3.683069 1.288796) + (xy -3.614569 1.373576) + (xy -3.550955 1.452102) + (xy -3.493588 1.522674) + (xy -3.443833 1.583591) + (xy -3.403052 1.633153) + (xy -3.385888 1.653822) + (xy -3.299596 1.754484) + (xy -3.222997 1.837741) + (xy -3.154183 1.905562) + (xy -3.091248 1.959911) + (xy -3.081867 1.967278) + (xy -3.042356 1.997883) + (xy -4.174116 1.998133) + (xy -4.168827 1.950156) + (xy -4.17213 1.892812) + (xy -4.193661 1.824537) + (xy -4.233635 1.744788) + (xy -4.278943 1.672505) + (xy -4.295161 1.64986) + (xy -4.323214 1.612304) + (xy -4.36143 1.561979) + (xy -4.408137 1.501027) + (xy -4.461661 1.431589) + (xy -4.520331 1.355806) + (xy -4.582475 1.27582) + (xy -4.646421 1.193772) + (xy -4.710495 1.111804) + (xy -4.773027 1.032057) + (xy -4.832343 0.956673) + (xy -4.886771 0.887793) + (xy -4.934639 0.827558) + (xy -4.974275 0.778111) + (xy -5.004006 0.741592) + (xy -5.022161 0.720142) + (xy -5.02522 0.716844) + (xy -5.028079 0.724851) + (xy -5.030293 0.755145) + (xy -5.031857 0.807444) + (xy -5.032767 0.881469) + (xy -5.03302 0.976937) + (xy -5.032613 1.093566) + (xy -5.031704 1.213555) + (xy -5.030382 1.345667) + (xy -5.028857 1.457406) + (xy -5.026881 1.550975) + (xy -5.024206 1.628581) + (xy -5.020582 1.692426) + (xy -5.015761 1.744717) + (xy -5.009494 1.787656) + (xy -5.001532 1.823449) + (xy -4.991627 1.8543) + (xy -4.979531 1.882414) + (xy -4.964993 1.909995) + (xy -4.950311 1.935034) + (xy -4.912314 1.998133) + (xy -5.972197 1.998133) + (xy -6.275034 1.998133) + (xy -6.275001 2.004383) + (xy -6.274195 2.106456) + (xy -6.27317 2.195367) + (xy -6.2719 2.272059) + (xy -6.27036 2.337473) + (xy -6.268524 2.392551) + (xy -6.266367 2.438235) + (xy -6.263863 2.475466) + (xy -6.260987 2.505187) + (xy -6.257713 2.528338) + (xy -6.254015 2.545861) + (xy -6.249869 2.558699) + (xy -6.245247 2.567792) + (xy -6.240126 2.574082) + (xy -6.234478 2.578512) + (xy -6.228279 2.582022) + (xy -6.221504 2.585555) + (xy -6.215508 2.589124) + (xy -6.210275 2.5917) + (xy -6.202099 2.594028) + (xy -6.189886 2.596122) + (xy -6.172541 2.597993) + (xy -6.148969 2.599653) + (xy -6.118077 2.601116) + (xy -6.078768 2.602392) + (xy -6.02995 2.603496) + (xy -5.970527 2.604439) + (xy -5.899404 2.605233) + (xy -5.815488 2.605891) + (xy -5.717683 2.606425) + (xy -5.604894 2.606847) + (xy -5.476029 2.607171) + (xy -5.329991 2.607408) + (xy -5.165686 2.60757) + (xy -4.98202 2.60767) + (xy -4.777897 2.60772) + (xy -4.566753 2.607733) + (xy -2.9464 2.607733) + (xy -2.9464 2.510946) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 60d0baa5-1981-43f2-b806-d7b27fe65c79)) + (fp_poly (pts + (xy 4.188614 -2.275877) + (xy 4.212327 -2.290647) + (xy 4.238978 -2.312227) + (xy 4.238978 -2.633773) + (xy 4.238893 -2.72783) + (xy 4.238529 -2.801932) + (xy 4.237724 -2.858704) + (xy 4.236313 -2.900768) + (xy 4.234133 -2.930748) + (xy 4.231021 -2.951267) + (xy 4.226814 -2.964949) + (xy 4.221348 -2.974416) + (xy 4.217472 -2.979082) + (xy 4.186034 -2.999575) + (xy 4.150233 -2.998739) + (xy 4.118873 -2.981264) + (xy 4.092222 -2.959684) + (xy 4.092222 -2.312227) + (xy 4.118873 -2.290647) + (xy 4.144594 -2.274949) + (xy 4.1656 -2.269067) + (xy 4.188614 -2.275877) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 61c54639-5de9-4571-ae6f-8ceba0d89de8)) + (fp_poly (pts + (xy 1.018309 -2.269275) + (xy 1.147288 -2.273636) + (xy 1.256991 -2.286861) + (xy 1.349226 -2.309741) + (xy 1.425802 -2.34307) + (xy 1.488527 -2.387638) + (xy 1.539212 -2.444236) + (xy 1.579663 -2.513658) + (xy 1.580459 -2.515351) + (xy 1.604601 -2.577483) + (xy 1.613203 -2.632509) + (xy 1.606231 -2.687887) + (xy 1.583654 -2.751073) + (xy 1.579372 -2.760689) + (xy 1.550172 -2.816966) + (xy 1.517356 -2.860451) + (xy 1.475002 -2.897417) + (xy 1.41719 -2.934135) + (xy 1.413831 -2.936052) + (xy 1.363504 -2.960227) + (xy 1.306621 -2.978282) + (xy 1.239527 -2.990839) + (xy 1.158565 -2.998522) + (xy 1.060082 -3.001953) + (xy 1.025286 -3.002251) + (xy 0.859594 -3.002845) + (xy 0.836197 -2.9731) + (xy 0.829257 -2.963319) + (xy 0.823842 -2.951897) + (xy 0.819765 -2.936095) + (xy 0.816837 -2.913175) + (xy 0.814867 -2.880396) + (xy 0.814225 -2.856089) + (xy 0.970844 -2.856089) + (xy 1.064726 -2.856089) + (xy 1.119664 -2.854483) + (xy 1.17606 -2.850255) + (xy 1.222345 -2.844292) + (xy 1.225139 -2.84379) + (xy 1.307348 -2.821736) + (xy 1.371114 -2.7886) + (xy 1.418452 -2.742847) + (xy 1.451382 -2.682939) + (xy 1.457108 -2.667061) + (xy 1.462721 -2.642333) + (xy 1.460291 -2.617902) + (xy 1.448467 -2.5854) + (xy 1.44134 -2.569434) + (xy 1.418 -2.527006) + (xy 1.38988 -2.49724) + (xy 1.35894 -2.476511) + (xy 1.296966 -2.449537) + (xy 1.217651 -2.429998) + (xy 1.125253 -2.418746) + (xy 1.058333 -2.41627) + (xy 0.970844 -2.415822) + (xy 0.970844 -2.856089) + (xy 0.814225 -2.856089) + (xy 0.813668 -2.835021) + (xy 0.81305 -2.774311) + (xy 0.812825 -2.695526) + (xy 0.8128 -2.63392) + (xy 0.8128 -2.324485) + (xy 0.840509 -2.296776) + (xy 0.852806 -2.285544) + (xy 0.866103 -2.277853) + (xy 0.884672 -2.27304) + (xy 0.912786 -2.270446) + (xy 0.954717 -2.26941) + (xy 1.014737 -2.26927) + (xy 1.018309 -2.269275) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 72391493-0d28-4645-a0c5-449594b3f0d0)) + (fp_poly (pts + (xy 4.963065 -2.269163) + (xy 5.041772 -2.269542) + (xy 5.102863 -2.270333) + (xy 5.148817 -2.27167) + (xy 5.182114 -2.273683) + (xy 5.205236 -2.276506) + (xy 5.220662 -2.280269) + (xy 5.230871 -2.285105) + (xy 5.235813 -2.288822) + (xy 5.261457 -2.321358) + (xy 5.264559 -2.355138) + (xy 5.248711 -2.385826) + (xy 5.238348 -2.398089) + (xy 5.227196 -2.40645) + (xy 5.211035 -2.411657) + (xy 5.185642 -2.414457) + (xy 5.146798 -2.415596) + (xy 5.09028 -2.415821) + (xy 5.07918 -2.415822) + (xy 4.933244 -2.415822) + (xy 4.933244 -2.686756) + (xy 4.933148 -2.772154) + (xy 4.932711 -2.837864) + (xy 4.931712 -2.886774) + (xy 4.929928 -2.921773) + (xy 4.927137 -2.945749) + (xy 4.923117 -2.961593) + (xy 4.917645 -2.972191) + (xy 4.910666 -2.980267) + (xy 4.877734 -3.000112) + (xy 4.843354 -2.998548) + (xy 4.812176 -2.975906) + (xy 4.809886 -2.9731) + (xy 4.802429 -2.962492) + (xy 4.796747 -2.950081) + (xy 4.792601 -2.93285) + (xy 4.78975 -2.907784) + (xy 4.787954 -2.871867) + (xy 4.786972 -2.822083) + (xy 4.786564 -2.755417) + (xy 4.786489 -2.679589) + (xy 4.786489 -2.415822) + (xy 4.647127 -2.415822) + (xy 4.587322 -2.415418) + (xy 4.545918 -2.41384) + (xy 4.518748 -2.410547) + (xy 4.501646 -2.404992) + (xy 4.490443 -2.396631) + (xy 4.489083 -2.395178) + (xy 4.472725 -2.361939) + (xy 4.474172 -2.324362) + (xy 4.492978 -2.291645) + (xy 4.50025 -2.285298) + (xy 4.509627 -2.280266) + (xy 4.523609 -2.276396) + (xy 4.544696 -2.273537) + (xy 4.575389 -2.271535) + (xy 4.618189 -2.270239) + (xy 4.675595 -2.269498) + (xy 4.75011 -2.269158) + (xy 4.844233 -2.269068) + (xy 4.86426 -2.269067) + (xy 4.963065 -2.269163) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 78e50bc5-14ab-4075-868d-8828e672da4c)) + (fp_poly (pts + (xy 0.230343 -2.26926) + (xy 0.306701 -2.270174) + (xy 0.365217 -2.272311) + (xy 0.408255 -2.276175) + (xy 0.438183 -2.282267) + (xy 0.457368 -2.29109) + (xy 0.468176 -2.303146) + (xy 0.472973 -2.318939) + (xy 0.474127 -2.33897) + (xy 0.474133 -2.341335) + (xy 0.473131 -2.363992) + (xy 0.468396 -2.381503) + (xy 0.457333 -2.394574) + (xy 0.437348 -2.403913) + (xy 0.405846 -2.410227) + (xy 0.360232 -2.414222) + (xy 0.297913 -2.416606) + (xy 0.216293 -2.418086) + (xy 0.191277 -2.418414) + (xy -0.0508 -2.421467) + (xy -0.054186 -2.486378) + (xy -0.057571 -2.551289) + (xy 0.110576 -2.551289) + (xy 0.176266 -2.551531) + (xy 0.223172 -2.552556) + (xy 0.255083 -2.554811) + (xy 0.275791 -2.558742) + (xy 0.289084 -2.564798) + (xy 0.298755 -2.573424) + (xy 0.298817 -2.573493) + (xy 0.316356 -2.607112) + (xy 0.315722 -2.643448) + (xy 0.297314 -2.674423) + (xy 0.293671 -2.677607) + (xy 0.280741 -2.685812) + (xy 0.263024 -2.691521) + (xy 0.23657 -2.695162) + (xy 0.197432 -2.697167) + (xy 0.141662 -2.697964) + (xy 0.105994 -2.698045) + (xy -0.056445 -2.698045) + (xy -0.056445 -2.856089) + (xy 0.190161 -2.856089) + (xy 0.27158 -2.856231) + (xy 0.33341 -2.856814) + (xy 0.378637 -2.858068) + (xy 0.410248 -2.860227) + (xy 0.431231 -2.863523) + (xy 0.444573 -2.868189) + (xy 0.453261 -2.874457) + (xy 0.45545 -2.876733) + (xy 0.471614 -2.90828) + (xy 0.472797 -2.944168) + (xy 0.459536 -2.975285) + (xy 0.449043 -2.985271) + (xy 0.438129 -2.990769) + (xy 0.421217 -2.995022) + (xy 0.395633 -2.99818) + (xy 0.358701 -3.000392) + (xy 0.307746 -3.001806) + (xy 0.240094 -3.002572) + (xy 0.153069 -3.002838) + (xy 0.133394 -3.002845) + (xy 0.044911 -3.002787) + (xy -0.023773 -3.002467) + (xy -0.075436 -3.001667) + (xy -0.112855 -3.000167) + (xy -0.13881 -2.997749) + (xy -0.156078 -2.994194) + (xy -0.167438 -2.989282) + (xy -0.175668 -2.982795) + (xy -0.180183 -2.978138) + (xy -0.186979 -2.969889) + (xy -0.192288 -2.959669) + (xy -0.196294 -2.9448) + (xy -0.199179 -2.922602) + (xy -0.201126 -2.890393) + (xy -0.202319 -2.845496) + (xy -0.202939 -2.785228) + (xy -0.203171 -2.706911) + (xy -0.2032 -2.640994) + (xy -0.203129 -2.548628) + (xy -0.202792 -2.476117) + (xy -0.202002 -2.420737) + (xy -0.200574 -2.379765) + (xy -0.198321 -2.350478) + (xy -0.195057 -2.330153) + (xy -0.190596 -2.316066) + (xy -0.184752 -2.305495) + (xy -0.179803 -2.298811) + (xy -0.156406 -2.269067) + (xy 0.133774 -2.269067) + (xy 0.230343 -2.26926) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 915e8390-0b0d-4d14-9ec8-490e4b924ab9)) + (fp_poly (pts + (xy -2.923822 -2.291645) + (xy -2.917242 -2.299218) + (xy -2.912079 -2.308987) + (xy -2.908164 -2.323571) + (xy -2.905324 -2.345585) + (xy -2.903387 -2.377648) + (xy -2.902183 -2.422375) + (xy -2.901539 -2.482385) + (xy -2.901284 -2.560294) + (xy -2.901245 -2.635956) + (xy -2.901314 -2.729802) + (xy -2.901638 -2.803689) + (xy -2.902386 -2.860232) + (xy -2.903732 -2.902049) + (xy -2.905846 -2.931757) + (xy -2.9089 -2.951973) + (xy -2.913066 -2.965314) + (xy -2.918516 -2.974398) + (xy -2.923822 -2.980267) + (xy -2.956826 -2.999947) + (xy -2.991991 -2.998181) + (xy -3.023455 -2.976717) + (xy -3.030684 -2.968337) + (xy -3.036334 -2.958614) + (xy -3.040599 -2.944861) + (xy -3.043673 -2.924389) + (xy -3.045752 -2.894512) + (xy -3.04703 -2.852541) + (xy -3.047701 -2.795789) + (xy -3.047959 -2.721567) + (xy -3.048 -2.637537) + (xy -3.048 -2.324485) + (xy -3.020291 -2.296776) + (xy -2.986137 -2.273463) + (xy -2.953006 -2.272623) + (xy -2.923822 -2.291645) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 9a743c14-4d39-4283-921c-a6bb9a7a0fd4)) + (fp_poly (pts + (xy -1.950081 -2.274599) + (xy -1.881565 -2.286095) + (xy -1.828943 -2.303967) + (xy -1.794708 -2.327499) + (xy -1.785379 -2.340924) + (xy -1.775893 -2.372148) + (xy -1.782277 -2.400395) + (xy -1.80243 -2.427182) + (xy -1.833745 -2.439713) + (xy -1.879183 -2.438696) + (xy -1.914326 -2.431906) + (xy -1.992419 -2.418971) + (xy -2.072226 -2.417742) + (xy -2.161555 -2.428241) + (xy -2.186229 -2.43269) + (xy -2.269291 -2.456108) + (xy -2.334273 -2.490945) + (xy -2.380461 -2.536604) + (xy -2.407145 -2.592494) + (xy -2.412663 -2.621388) + (xy -2.409051 -2.680012) + (xy -2.385729 -2.731879) + (xy -2.344824 -2.775978) + (xy -2.288459 -2.811299) + (xy -2.21876 -2.836829) + (xy -2.137852 -2.851559) + (xy -2.04786 -2.854478) + (xy -1.95091 -2.844575) + (xy -1.945436 -2.843641) + (xy -1.906875 -2.836459) + (xy -1.885494 -2.829521) + (xy -1.876227 -2.819227) + (xy -1.874006 -2.801976) + (xy -1.873956 -2.792841) + (xy -1.873956 -2.754489) + (xy -1.942431 -2.754489) + (xy -2.0029 -2.750347) + (xy -2.044165 -2.737147) + (xy -2.068175 -2.71373) + (xy -2.076877 -2.678936) + (xy -2.076983 -2.674394) + (xy -2.071892 -2.644654) + (xy -2.054433 -2.623419) + (xy -2.021939 -2.609366) + (xy -1.971743 -2.601173) + (xy -1.923123 -2.598161) + (xy -1.852456 -2.596433) + (xy -1.801198 -2.59907) + (xy -1.766239 -2.6088) + (xy -1.74447 -2.628353) + (xy -1.73278 -2.660456) + (xy -1.72806 -2.707838) + (xy -1.7272 -2.770071) + (xy -1.728609 -2.839535) + (xy -1.732848 -2.886786) + (xy -1.739936 -2.912012) + (xy -1.741311 -2.913988) + (xy -1.780228 -2.945508) + (xy -1.837286 -2.97047) + (xy -1.908869 -2.98834) + (xy -1.991358 -2.998586) + (xy -2.081139 -3.000673) + (xy -2.174592 -2.994068) + (xy -2.229556 -2.985956) + (xy -2.315766 -2.961554) + (xy -2.395892 -2.921662) + (xy -2.462977 -2.869887) + (xy -2.473173 -2.859539) + (xy -2.506302 -2.816035) + (xy -2.536194 -2.762118) + (xy -2.559357 -2.705592) + (xy -2.572298 -2.654259) + (xy -2.573858 -2.634544) + (xy -2.567218 -2.593419) + (xy -2.549568 -2.542252) + (xy -2.524297 -2.488394) + (xy -2.494789 -2.439195) + (xy -2.468719 -2.406334) + (xy -2.407765 -2.357452) + (xy -2.328969 -2.318545) + (xy -2.235157 -2.290494) + (xy -2.12915 -2.274179) + (xy -2.032 -2.270192) + (xy -1.950081 -2.274599) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp a8c29d00-1168-4051-8c9e-0536555fcb6b)) + (fp_poly (pts + (xy -3.691703 -2.270351) + (xy -3.616888 -2.275581) + (xy -3.547306 -2.28375) + (xy -3.487002 -2.29455) + (xy -3.44002 -2.307673) + (xy -3.410406 -2.322813) + (xy -3.40586 -2.327269) + (xy -3.390054 -2.36185) + (xy -3.394847 -2.397351) + (xy -3.419364 -2.427725) + (xy -3.420534 -2.428596) + (xy -3.434954 -2.437954) + (xy -3.450008 -2.442876) + (xy -3.471005 -2.443473) + (xy -3.503257 -2.439861) + (xy -3.552073 -2.432154) + (xy -3.556 -2.431505) + (xy -3.628739 -2.422569) + (xy -3.707217 -2.418161) + (xy -3.785927 -2.418119) + (xy -3.859361 -2.422279) + (xy -3.922011 -2.430479) + (xy -3.96837 -2.442557) + (xy -3.971416 -2.443771) + (xy -4.005048 -2.462615) + (xy -4.016864 -2.481685) + (xy -4.007614 -2.500439) + (xy -3.978047 -2.518337) + (xy -3.928911 -2.534837) + (xy -3.860957 -2.549396) + (xy -3.815645 -2.556406) + (xy -3.721456 -2.569889) + (xy -3.646544 -2.582214) + (xy -3.587717 -2.594449) + (xy -3.541785 -2.607661) + (xy -3.505555 -2.622917) + (xy -3.475838 -2.641285) + (xy -3.449442 -2.663831) + (xy -3.42823 -2.685971) + (xy -3.403065 -2.716819) + (xy -3.390681 -2.743345) + (xy -3.386808 -2.776026) + (xy -3.386667 -2.787995) + (xy -3.389576 -2.827712) + (xy -3.401202 -2.857259) + (xy -3.421323 -2.883486) + (xy -3.462216 -2.923576) + (xy -3.507817 -2.954149) + (xy -3.561513 -2.976203) + (xy -3.626692 -2.990735) + (xy -3.706744 -2.998741) + (xy -3.805057 -3.001218) + (xy -3.821289 -3.001177) + (xy -3.886849 -2.999818) + (xy -3.951866 -2.99673) + (xy -4.009252 -2.992356) + (xy -4.051922 -2.98714) + (xy -4.055372 -2.986541) + (xy -4.097796 -2.976491) + (xy -4.13378 -2.963796) + (xy -4.15415 -2.95219) + (xy -4.173107 -2.921572) + (xy -4.174427 -2.885918) + (xy -4.158085 -2.854144) + (xy -4.154429 -2.850551) + (xy -4.139315 -2.839876) + (xy -4.120415 -2.835276) + (xy -4.091162 -2.836059) + (xy -4.055651 -2.840127) + (xy -4.01597 -2.843762) + (xy -3.960345 -2.846828) + (xy -3.895406 -2.849053) + (xy -3.827785 -2.850164) + (xy -3.81 -2.850237) + (xy -3.742128 -2.849964) + (xy -3.692454 -2.848646) + (xy -3.65661 -2.845827) + (xy -3.630224 -2.84105) + (xy -3.608926 -2.833857) + (xy -3.596126 -2.827867) + (xy -3.568 -2.811233) + (xy -3.550068 -2.796168) + (xy -3.547447 -2.791897) + (xy -3.552976 -2.774263) + (xy -3.57926 -2.757192) + (xy -3.624478 -2.741458) + (xy -3.686808 -2.727838) + (xy -3.705171 -2.724804) + (xy -3.80109 -2.709738) + (xy -3.877641 -2.697146) + (xy -3.93778 -2.686111) + (xy -3.98446 -2.67572) + (xy -4.020637 -2.665056) + (xy -4.049265 -2.653205) + (xy -4.073298 -2.639251) + (xy -4.095692 -2.622281) + (xy -4.119402 -2.601378) + (xy -4.12738 -2.594049) + (xy -4.155353 -2.566699) + (xy -4.17016 -2.545029) + (xy -4.175952 -2.520232) + (xy -4.176889 -2.488983) + (xy -4.166575 -2.427705) + (xy -4.135752 -2.37564) + (xy -4.084595 -2.332958) + (xy -4.013283 -2.299825) + (xy -3.9624 -2.284964) + (xy -3.9071 -2.275366) + (xy -3.840853 -2.269936) + (xy -3.767706 -2.268367) + (xy -3.691703 -2.270351) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp e65c4eb2-78c2-4420-8740-5dc1378156ee)) + (fp_poly (pts + (xy -4.712794 -2.269146) + (xy -4.643386 -2.269518) + (xy -4.590997 -2.270385) + (xy -4.552847 -2.271946) + (xy -4.526159 -2.274403) + (xy -4.508153 -2.277957) + (xy -4.496049 -2.28281) + (xy -4.487069 -2.289161) + (xy -4.483818 -2.292084) + (xy -4.464043 -2.323142) + (xy -4.460482 -2.358828) + (xy -4.473491 -2.39051) + (xy -4.479506 -2.396913) + (xy -4.489235 -2.403121) + (xy -4.504901 -2.40791) + (xy -4.529408 -2.411514) + (xy -4.565661 -2.414164) + (xy -4.616565 -2.416095) + (xy -4.685026 -2.417539) + (xy -4.747617 -2.418418) + (xy -4.995334 -2.421467) + (xy -4.998719 -2.486378) + (xy -5.002105 -2.551289) + (xy -4.833958 -2.551289) + (xy -4.760959 -2.551919) + (xy -4.707517 -2.554553) + (xy -4.670628 -2.560309) + (xy -4.647288 -2.570304) + (xy -4.634494 -2.585656) + (xy -4.629242 -2.607482) + (xy -4.628445 -2.627738) + (xy -4.630923 -2.652592) + (xy -4.640277 -2.670906) + (xy -4.659383 -2.683637) + (xy -4.691118 -2.691741) + (xy -4.738359 -2.696176) + (xy -4.803983 -2.697899) + (xy -4.839801 -2.698045) + (xy -5.000978 -2.698045) + (xy -5.000978 -2.856089) + (xy -4.752622 -2.856089) + (xy -4.671213 -2.856202) + (xy -4.609342 -2.856712) + (xy -4.563968 -2.85787) + (xy -4.532054 -2.85993) + (xy -4.510559 -2.863146) + (xy -4.496443 -2.867772) + (xy -4.486668 -2.874059) + (xy -4.481689 -2.878667) + (xy -4.46461 -2.90556) + (xy -4.459111 -2.929467) + (xy -4.466963 -2.958667) + (xy -4.481689 -2.980267) + (xy -4.489546 -2.987066) + (xy -4.499688 -2.992346) + (xy -4.514844 -2.996298) + (xy -4.537741 -2.999113) + (xy -4.571109 -3.000982) + (xy -4.617675 -3.002098) + (xy -4.680167 -3.002651) + (xy -4.761314 -3.002833) + (xy -4.803422 -3.002845) + (xy -4.893598 -3.002765) + (xy -4.963924 -3.002398) + (xy -5.017129 -3.001552) + (xy -5.05594 -3.000036) + (xy -5.083087 -2.997659) + (xy -5.101298 -2.994229) + (xy -5.1133 -2.989554) + (xy -5.121822 -2.983444) + (xy -5.125156 -2.980267) + (xy -5.131755 -2.97267) + (xy -5.136927 -2.96287) + (xy -5.140846 -2.948239) + (xy -5.143684 -2.926152) + (xy -5.145615 -2.893982) + (xy -5.146812 -2.849103) + (xy -5.147448 -2.788889) + (xy -5.147697 -2.710713) + (xy -5.147734 -2.637923) + (xy -5.1477 -2.544707) + (xy -5.147465 -2.471431) + (xy -5.14683 -2.415458) + (xy -5.145594 -2.374151) + (xy -5.143556 -2.344872) + (xy -5.140517 -2.324984) + (xy -5.136277 -2.31185) + (xy -5.130635 -2.302832) + (xy -5.123391 -2.295293) + (xy -5.121606 -2.293612) + (xy -5.112945 -2.286172) + (xy -5.102882 -2.280409) + (xy -5.088625 -2.276112) + (xy -5.067383 -2.273064) + (xy -5.036364 -2.271051) + (xy -4.992777 -2.26986) + (xy -4.933831 -2.269275) + (xy -4.856734 -2.269083) + (xy -4.802001 -2.269067) + (xy -4.712794 -2.269146) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp f151dc7b-7c10-4404-905f-d1d5505b7b39)) + (fp_poly (pts + (xy -6.121371 -2.269066) + (xy -6.081889 -2.269467) + (xy -5.9662 -2.272259) + (xy -5.869311 -2.28055) + (xy -5.787919 -2.295232) + (xy -5.718723 -2.317193) + (xy -5.65842 -2.347322) + (xy -5.603708 -2.38651) + (xy -5.584167 -2.403532) + (xy -5.55175 -2.443363) + (xy -5.52252 -2.497413) + (xy -5.499991 -2.557323) + (xy -5.487679 -2.614739) + (xy -5.4864 -2.635956) + (xy -5.494417 -2.694769) + (xy -5.515899 -2.759013) + (xy -5.546999 -2.819821) + (xy -5.583866 -2.86833) + (xy -5.589854 -2.874182) + (xy -5.640579 -2.915321) + (xy -5.696125 -2.947435) + (xy -5.759696 -2.971365) + (xy -5.834494 -2.987953) + (xy -5.923722 -2.998041) + (xy -6.030582 -3.002469) + (xy -6.079528 -3.002845) + (xy -6.141762 -3.002545) + (xy -6.185528 -3.001292) + (xy -6.214931 -2.998554) + (xy -6.234079 -2.993801) + (xy -6.247077 -2.986501) + (xy -6.254045 -2.980267) + (xy -6.260626 -2.972694) + (xy -6.265788 -2.962924) + (xy -6.269703 -2.94834) + (xy -6.272543 -2.926326) + (xy -6.27448 -2.894264) + (xy -6.275684 -2.849536) + (xy -6.276328 -2.789526) + (xy -6.276583 -2.711617) + (xy -6.276622 -2.635956) + (xy -6.27687 -2.535041) + (xy -6.276817 -2.454427) + (xy -6.275857 -2.415822) + (xy -6.129867 -2.415822) + (xy -6.129867 -2.856089) + (xy -6.036734 -2.856004) + (xy -5.980693 -2.854396) + (xy -5.921999 -2.850256) + (xy -5.873028 -2.844464) + (xy -5.871538 -2.844226) + (xy -5.792392 -2.82509) + (xy -5.731002 -2.795287) + (xy -5.684305 -2.752878) + (xy -5.654635 -2.706961) + (xy -5.636353 -2.656026) + (xy -5.637771 -2.6082) + (xy -5.658988 -2.556933) + (xy -5.700489 -2.503899) + (xy -5.757998 -2.4646) + (xy -5.83275 -2.438331) + (xy -5.882708 -2.429035) + (xy -5.939416 -2.422507) + (xy -5.999519 -2.417782) + (xy -6.050639 -2.415817) + (xy -6.053667 -2.415808) + (xy -6.129867 -2.415822) + (xy -6.275857 -2.415822) + (xy -6.27526 -2.391851) + (xy -6.270998 -2.345055) + (xy -6.26283 -2.311778) + (xy -6.249556 -2.289759) + (xy -6.229974 -2.276739) + (xy -6.202883 -2.270457) + (xy -6.167082 -2.268653) + (xy -6.121371 -2.269066) + ) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp fdb06ad1-97d2-4b11-b793-97e11354ad34)) ) - (module LED_SMD:LED_0402_1005Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 617AAB79) - (at 135.001 110.2995) - (descr "LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags LED) - (path /617F1E57/617F3A70) - (attr smd) - (fp_text reference D1 (at 0 -1.17) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value L (at 0 1.016 -90) (layer Cmts.User) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.3 0.25) (end -0.3 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4 0.25) (end -0.4 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1)) - (fp_circle (center -1.09 0) (end -1.04 0) (layer F.SilkS) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.Fab) - (effects (font (size 0.25 0.25) (thickness 0.04))) - ) - (pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 6 "Net-(D1-Pad2)")) - (pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 3 /A)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0402_1005Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (gr_text git.imaginaerraum.de/apo/adder (at 144.018 84.963) (layer B.SilkS) + (gr_line (start 144.145 93.9165) (end 144.145 93.9165) (layer "F.SilkS") (width 0.12) (tstamp 00000000-0000-0000-0000-00006187532e)) + (gr_line (start 150.54771 84.497687) (end 155.162415 84.497687) (layer "F.SilkS") (width 0.2) (tstamp 0150bc77-a9c3-4ed3-b5bb-3dc02ae99393)) + (gr_line (start 138.768067 83.456409) (end 142.964711 83.456409) (layer "F.SilkS") (width 0.2) (tstamp 021e76c9-d3d2-4895-b5a2-d928c7db430e)) + (gr_line (start 137.917473 103.645873) (end 133.230937 103.645873) (layer "F.SilkS") (width 0.2) (tstamp 061bfc1d-0014-42d7-9140-a8fe1e3c92c8)) + (gr_line (start 138.503484 83.191825) (end 138.503484 84.497687) (layer "F.SilkS") (width 0.2) (tstamp 06225b74-24fa-4201-af9f-a3dabfeb6ffe)) + (gr_line (start 144.145 84.233103) (end 144.145 77.7875) (layer "F.SilkS") (width 0.2) (tstamp 0669f92e-0f26-4921-83ff-5d52b3e656f6)) + (gr_line (start 142.964711 79.495927) (end 133.230937 79.495927) (layer "F.SilkS") (width 0.2) (tstamp 0783e7a8-30b9-45c2-8729-ce5ad7004932)) + (gr_line (start 147.437307 96.739834) (end 144.012709 96.739834) (layer "F.SilkS") (width 0.2) (tstamp 104840b7-d634-4ac8-b8ec-1d4317f90a05)) + (gr_line (start 144.145 84.49818) (end 144.145 86.9442) (layer "F.SilkS") (width 0.2) (tstamp 1453fb30-6bc1-4f54-b7cb-e3703ce0198c)) + (gr_line (start 150.283126 93.898664) (end 144.145 93.898664) (layer "F.SilkS") (width 0.2) (tstamp 199fabd4-4ffa-49f4-ab62-9ed41bca33d9)) + (gr_line (start 133.230937 84.497687) (end 137.917473 84.497687) (layer "F.SilkS") (width 0.2) (tstamp 19a00ca3-bbe3-4ca3-8b04-6c617e6388c1)) + (gr_line (start 137.917473 108.437827) (end 133.230937 108.437827) (layer "F.SilkS") (width 0.2) (tstamp 1b469a19-2ab1-430d-9628-7ec5a3ece719)) + (gr_line (start 144.145 99.009462) (end 155.162415 99.009462) (layer "F.SilkS") (width 0.2) (tstamp 26d5c2f8-79cb-4874-a7f0-c2925de6add3)) + (gr_line (start 155.162415 99.009462) (end 155.162415 98.744878) (layer "F.SilkS") (width 0.2) (tstamp 275ef9c4-ecc8-43e8-af92-d45518e2d535)) + (gr_line (start 138.182056 108.702412) (end 138.182056 103.910454) (layer "F.SilkS") (width 0.2) (tstamp 2e7f3608-c0d3-4c7f-885d-de559c5a97d9)) + (gr_line (start 155.162415 79.760508) (end 155.162415 79.495927) (layer "F.SilkS") (width 0.2) (tstamp 3037dfa5-6549-4985-a528-abb063632c4d)) + (gr_line (start 133.230937 79.760508) (end 142.700127 79.760508) (layer "F.SilkS") (width 0.2) (tstamp 311a8188-3ed5-4d53-bb86-f1d7d67594e2)) + (gr_line (start 144.145 103.645873) (end 144.145 99.009462) (layer "F.SilkS") (width 0.2) (tstamp 36bdc1a3-ce23-4df0-9ed7-92aa67c89e23)) + (gr_line (start 149.486793 84.233103) (end 144.145 84.233103) (layer "F.SilkS") (width 0.2) (tstamp 3832ebf9-4dcb-4146-8472-82d6fd784e32)) + (gr_line (start 150.283126 108.702412) (end 155.162415 108.702412) (layer "F.SilkS") (width 0.2) (tstamp 384fab3c-24ca-411f-9226-de2d4c25b8a8)) + (gr_line (start 138.182056 103.910454) (end 144.012709 103.910454) (layer "F.SilkS") (width 0.2) (tstamp 385ccb42-e890-4b5c-be83-aa82ea64cf3c)) + (gr_line (start 143.880417 99.009462) (end 143.880417 103.645873) (layer "F.SilkS") (width 0.2) (tstamp 39af3f06-4b1d-4bd3-a6d0-521c91e986f2)) + (gr_line (start 145.485487 79.760508) (end 155.162415 79.760508) (layer "F.SilkS") (width 0.2) (tstamp 3eb53f47-75be-416f-9600-76338aa9134a)) + (gr_line (start 137.917473 84.497687) (end 137.917473 89.106707) (layer "F.SilkS") (width 0.2) (tstamp 3f450f41-7251-44d5-b20d-30ef3fa7e155)) + (gr_line (start 140.277019 96.739834) (end 140.277019 94.163245) (layer "F.SilkS") (width 0.2) (tstamp 4053559e-bad0-45ea-af04-171e20672e13)) + (gr_line (start 150.54771 103.910454) (end 155.162415 103.910454) (layer "F.SilkS") (width 0.2) (tstamp 4364083c-c189-46fe-b01f-6e36f2a7326a)) + (gr_line (start 133.230937 103.645873) (end 133.230937 103.910454) (layer "F.SilkS") (width 0.2) (tstamp 43699b07-e2ee-4c5e-bb04-eecdb628f9b5)) + (gr_line (start 143.880417 97.004417) (end 143.880417 98.744878) (layer "F.SilkS") (width 0.2) (tstamp 4381aac2-210a-40e5-9134-ef14bb41fa18)) + (gr_line (start 138.182056 84.233103) (end 133.230937 84.233103) (layer "F.SilkS") (width 0.2) (tstamp 495fff26-f4e9-49c1-85b1-a4d9f0af2516)) + (gr_line (start 140.012436 97.004417) (end 143.880417 97.004417) (layer "F.SilkS") (width 0.2) (tstamp 49b32f4e-b89f-4b78-a1e5-bff32fb7b2c8)) + (gr_line (start 155.162415 103.910454) (end 155.162415 103.645873) (layer "F.SilkS") (width 0.2) (tstamp 4de80535-3804-43e7-83c3-6ee3f5ecc5db)) + (gr_line (start 142.700127 83.191825) (end 138.503484 83.191825) (layer "F.SilkS") (width 0.2) (tstamp 518ea70a-b27d-4f78-9d11-29153174b046)) + (gr_line (start 150.54771 93.898664) (end 150.54771 89.371289) (layer "F.SilkS") (width 0.2) (tstamp 52bf497c-64f2-4a08-affd-056c85d5ed9d)) + (gr_line (start 142.700127 79.760508) (end 142.700127 83.191825) (layer "F.SilkS") (width 0.2) (tstamp 54cf1454-3f80-4db6-aa34-9848409974fc)) + (gr_line (start 144.018 92.583) (end 144.145 92.6465) (layer "F.SilkS") (width 0.12) (tstamp 553dab4d-9697-470f-bda6-50e8cdb97df8)) + (gr_line (start 140.012436 94.163245) (end 140.012436 97.004417) (layer "F.SilkS") (width 0.2) (tstamp 598d2845-61cf-436f-92d4-8ba1ab906f00)) + (gr_line (start 133.230937 103.910454) (end 137.917473 103.910454) (layer "F.SilkS") (width 0.2) (tstamp 5c88a2c1-4e11-423f-9c78-1f0069feb263)) + (gr_line (start 147.701892 94.163245) (end 155.162415 94.163245) (layer "F.SilkS") (width 0.2) (tstamp 5da30425-4b7c-4442-a7b6-a100a181de80)) + (gr_line (start 133.230937 94.163245) (end 140.012436 94.163245) (layer "F.SilkS") (width 0.2) (tstamp 629c1dd4-9b2b-4dfa-885a-77327466e440)) + (gr_line (start 149.486793 83.462091) (end 149.486793 84.233103) (layer "F.SilkS") (width 0.2) (tstamp 65f892b4-3ffa-4f67-ba43-54a587d6c841)) + (gr_line (start 143.880417 84.233103) (end 138.768067 84.233103) (layer "F.SilkS") (width 0.2) (tstamp 67b605d0-3b9f-49a3-b557-852fe32417dd)) + (gr_line (start 147.437307 94.163245) (end 147.437307 96.739834) (layer "F.SilkS") (width 0.2) (tstamp 6c050fca-5a40-4a13-94b1-7f4cd95cca72)) + (gr_line (start 144.012709 94.163245) (end 147.437307 94.163245) (layer "F.SilkS") (width 0.2) (tstamp 6ce1011b-d7c2-4010-a6cc-f0aa1381cc1b)) + (gr_line (start 138.182056 93.898664) (end 138.182056 84.233103) (layer "F.SilkS") (width 0.2) (tstamp 7464ae6c-3eca-4dcc-be6d-2a7751d71d68)) + (gr_line (start 143.880417 93.89872) (end 143.88084 92.53728) (layer "F.SilkS") (width 0.2) (tstamp 75ae055f-cc79-4263-9e29-251b1a82315e)) + (gr_line (start 144.012709 103.910454) (end 150.283126 103.910454) (layer "F.SilkS") (width 0.2) (tstamp 7940e2e2-e922-4237-9c47-08add373d473)) + (gr_line (start 155.162415 108.437827) (end 150.54771 108.437827) (layer "F.SilkS") (width 0.2) (tstamp 7ad73e5b-5960-4a9c-88f5-106657d0e664)) + (gr_line (start 155.162415 108.702412) (end 155.162415 108.437827) (layer "F.SilkS") (width 0.2) (tstamp 7c177a73-1d9d-43a2-87ca-93dbe0cb388d)) + (gr_line (start 150.54771 103.645873) (end 150.415417 103.645873) (layer "F.SilkS") (width 0.2) (tstamp 8717ff4f-6b9c-40dd-85e4-be322db043e1)) + (gr_line (start 137.917473 103.910454) (end 137.917473 108.437827) (layer "F.SilkS") (width 0.2) (tstamp 87b9458a-1bbc-4025-a1bd-e4d66cc2e18f)) + (gr_line (start 133.230937 79.495927) (end 133.230937 79.760508) (layer "F.SilkS") (width 0.2) (tstamp 8b47335b-beb2-4aca-9e29-6efa0e8ba34d)) + (gr_line (start 143.880417 98.744878) (end 133.230937 98.744878) (layer "F.SilkS") (width 0.2) (tstamp 8b95e19c-41e4-4c82-b89d-b6e9dd23993d)) + (gr_line (start 133.230937 108.702412) (end 138.182056 108.702412) (layer "F.SilkS") (width 0.2) (tstamp 901b8809-17bf-4463-9792-5f5284675289)) + (gr_line (start 138.503484 84.497687) (end 143.880417 84.49818) (layer "F.SilkS") (width 0.2) (tstamp 90507eeb-fa71-4893-8a46-8289c08a1c4b)) + (gr_line (start 145.485487 83.197507) (end 145.485487 79.760508) (layer "F.SilkS") (width 0.2) (tstamp 90863d90-e64f-4411-8be1-1181c83feb46)) + (gr_line (start 133.230937 108.437827) (end 133.230937 108.702412) (layer "F.SilkS") (width 0.2) (tstamp 92d2491d-354e-443e-93af-b8ef18265b2e)) + (gr_line (start 144.145 77.7875) (end 143.880417 77.7875) (layer "F.SilkS") (width 0.2) (tstamp 94fa0c4c-00e5-455b-a3e3-a50273560edd)) + (gr_line (start 147.701892 97.004417) (end 147.701892 94.163245) (layer "F.SilkS") (width 0.2) (tstamp 950f04fd-a89c-4e98-baf5-07ef662e3d53)) + (gr_line (start 133.230937 89.371289) (end 137.917473 89.371289) (layer "F.SilkS") (width 0.2) (tstamp 963b2951-8e7f-4716-92ed-ece102fbde5e)) + (gr_line (start 133.230937 93.898664) (end 133.230937 94.163245) (layer "F.SilkS") (width 0.2) (tstamp 9669ddb8-7e3d-4dbb-ac7c-6d6bad9fc5bd)) + (gr_line (start 143.880417 93.89872) (end 138.182056 93.898664) (layer "F.SilkS") (width 0.2) (tstamp 96aa6e12-408c-49e4-bc5b-fb48ab027b2a)) + (gr_line (start 138.768067 84.233103) (end 138.768067 83.456409) (layer "F.SilkS") (width 0.2) (tstamp 98ee9011-e48d-4c1b-a5b1-46b53ad936b7)) + (gr_line (start 150.283126 84.233103) (end 150.283126 93.898664) (layer "F.SilkS") (width 0.2) (tstamp 9a144fd1-c908-4dae-aeaa-fe1e9f87dbbc)) + (gr_line (start 155.162415 79.495927) (end 145.220904 79.495927) (layer "F.SilkS") (width 0.2) (tstamp 9bb369ba-a65a-47c3-b533-b98e0f5136b6)) + (gr_line (start 155.162415 94.163245) (end 155.162415 93.898664) (layer "F.SilkS") (width 0.2) (tstamp a00c41e8-0545-4ff3-bb17-8ec26b6f804f)) + (gr_line (start 150.54771 89.371289) (end 155.162415 89.371289) (layer "F.SilkS") (width 0.2) (tstamp a189bb61-e64e-4053-90fd-e6f56d0ab667)) + (gr_line (start 155.162415 93.898664) (end 150.54771 93.898664) (layer "F.SilkS") (width 0.2) (tstamp a37d3cc3-97e6-4bc8-9c8a-955224ff96b6)) + (gr_line (start 138.049765 103.645873) (end 137.917473 103.645873) (layer "F.SilkS") (width 0.2) (tstamp a561b5c9-982b-4ca1-a3aa-68c7ae54af11)) + (gr_line (start 155.162415 89.371289) (end 155.162415 89.106707) (layer "F.SilkS") (width 0.2) (tstamp a8f01878-1c69-4f99-b694-1e227ca93aa7)) + (gr_line (start 155.162415 89.106707) (end 150.54771 89.106707) (layer "F.SilkS") (width 0.2) (tstamp aa893e91-da36-4a61-82a7-ef329e7988f7)) + (gr_line (start 137.917473 93.898664) (end 133.230937 93.898664) (layer "F.SilkS") (width 0.2) (tstamp aec30606-67eb-4383-b33d-f5134b6d83ab)) + (gr_line (start 155.162415 103.645873) (end 150.54771 103.645873) (layer "F.SilkS") (width 0.2) (tstamp b10436ec-7a0f-4145-962d-c27c4968bf86)) + (gr_line (start 140.277019 94.163245) (end 144.012709 94.163245) (layer "F.SilkS") (width 0.2) (tstamp b37b8f16-8227-4436-928d-97f54909abf1)) + (gr_line (start 145.220904 83.462091) (end 149.486793 83.462091) (layer "F.SilkS") (width 0.2) (tstamp b55609bc-7760-4562-b888-80c3bacc5fe4)) + (gr_line (start 143.880417 103.645873) (end 138.049765 103.645873) (layer "F.SilkS") (width 0.2) (tstamp be264212-16c7-42cf-a292-67daafc18dad)) + (gr_line (start 144.012709 96.739834) (end 140.277019 96.739834) (layer "F.SilkS") (width 0.2) (tstamp c30b386d-610d-4be2-822b-31b4f4d05503)) + (gr_line (start 142.964711 83.456409) (end 142.964711 79.495927) (layer "F.SilkS") (width 0.2) (tstamp c4216424-5d65-4efa-b2ec-639cb2f1d9ad)) + (gr_line (start 150.54771 108.437827) (end 150.54771 103.910454) (layer "F.SilkS") (width 0.2) (tstamp c4b1ad2c-f5ec-4f27-ba27-075f7bb7e5dc)) + (gr_line (start 133.230937 99.009462) (end 143.880417 99.009462) (layer "F.SilkS") (width 0.2) (tstamp ca1c9ae2-0189-4a45-9a40-bd4523e5e3a6)) + (gr_line (start 144.145 86.9442) (end 144.1196 86.9442) (layer "F.SilkS") (width 0.12) (tstamp cad34a4f-0c7e-4c60-9cd6-d9818fd085d6)) + (gr_line (start 145.220904 79.495927) (end 145.220904 83.462091) (layer "F.SilkS") (width 0.2) (tstamp d7f73ecb-c825-419b-9554-e2183094bd8e)) + (gr_line (start 144.145 97.004417) (end 147.701892 97.004417) (layer "F.SilkS") (width 0.2) (tstamp dc063c6c-5738-46ac-a19e-62cc5f3400fe)) + (gr_line (start 144.145 98.744878) (end 144.145 97.004417) (layer "F.SilkS") (width 0.2) (tstamp ddaf62af-f5d4-46db-9cd0-b566ca7eca36)) + (gr_line (start 150.415417 103.645873) (end 144.145 103.645873) (layer "F.SilkS") (width 0.2) (tstamp de0dfc92-85ff-43eb-812c-528a64a4556f)) + (gr_line (start 155.162415 84.233103) (end 150.283126 84.233103) (layer "F.SilkS") (width 0.2) (tstamp df14fcee-1786-4ef5-9343-fd0de60fa810)) + (gr_line (start 143.880417 77.7875) (end 143.880417 84.233103) (layer "F.SilkS") (width 0.2) (tstamp e012dd9c-ebcd-4ad6-a6ff-fb746cb0a27c)) + (gr_line (start 137.917473 89.371289) (end 137.917473 93.898664) (layer "F.SilkS") (width 0.2) (tstamp e1344704-b3d8-4265-841b-44ff0e9ea80a)) + (gr_line (start 137.917473 89.106707) (end 133.230937 89.106707) (layer "F.SilkS") (width 0.2) (tstamp e194e44e-cf48-4259-98a1-6831fc5487ef)) + (gr_line (start 144.145 92.6465) (end 144.145 93.898664) (layer "F.SilkS") (width 0.2) (tstamp e3cbd315-d92e-47fd-a9eb-674553f4a10d)) + (gr_line (start 143.8275 92.5195) (end 144.018 92.583) (layer "F.SilkS") (width 0.12) (tstamp e97b535f-962a-4cf2-9b78-78f18ce7cf15)) + (gr_line (start 144.145 84.497687) (end 149.751377 84.497687) (layer "F.SilkS") (width 0.2) (tstamp e9818296-4adc-4d8b-834f-eb780e79f293)) + (gr_line (start 133.230937 98.744878) (end 133.230937 99.009462) (layer "F.SilkS") (width 0.2) (tstamp e996b696-65c0-4256-8fdc-ea5df94c39ca)) + (gr_line (start 133.230937 89.106707) (end 133.230937 89.371289) (layer "F.SilkS") (width 0.2) (tstamp eb66665b-08a2-469e-a424-db5409cbacac)) + (gr_line (start 143.880417 84.49818) (end 143.880417 86.9442) (layer "F.SilkS") (width 0.2) (tstamp ecf7b9e5-084f-451d-a756-8442e6013ccc)) + (gr_line (start 155.162415 84.497687) (end 155.162415 84.233103) (layer "F.SilkS") (width 0.2) (tstamp ef2e1d04-de23-43b6-b88d-eee05f9f2d6e)) + (gr_line (start 133.230937 84.233103) (end 133.230937 84.497687) (layer "F.SilkS") (width 0.2) (tstamp f0846609-6b0e-4f69-b110-29f1d42ae1bb)) + (gr_line (start 155.162415 98.744878) (end 144.145 98.744878) (layer "F.SilkS") (width 0.2) (tstamp f315ef02-96de-4eac-8f3d-af144b822739)) + (gr_line (start 150.283126 103.910454) (end 150.283126 108.702412) (layer "F.SilkS") (width 0.2) (tstamp f963e8f6-bef4-473b-b72b-45c197dd0ab4)) + (gr_line (start 149.751377 84.497687) (end 149.751377 83.197507) (layer "F.SilkS") (width 0.2) (tstamp fc8463f6-54ac-4be7-b7a2-ec1542821784)) + (gr_line (start 150.54771 89.106707) (end 150.54771 84.497687) (layer "F.SilkS") (width 0.2) (tstamp ff32be03-a86f-4017-b53f-1bc75d35b346)) + (gr_line (start 149.751377 83.197507) (end 145.485487 83.197507) (layer "F.SilkS") (width 0.2) (tstamp ffe971c6-6c6b-4702-b707-1a38bbf73aca)) + (gr_line (start 128.397 119.9515) (end 159.131 119.9515) (layer "Edge.Cuts") (width 0.05) (tstamp 00000000-0000-0000-0000-0000617e97e0)) + (gr_arc (start 128.397 119.9515) (mid 127.139764 119.430736) (end 126.619 118.1735) (layer "Edge.Cuts") (width 0.05) (tstamp 00000000-0000-0000-0000-000061863788)) + (gr_arc (start 126.619 79.5655) (mid 127.139764 78.308264) (end 128.397 77.7875) (layer "Edge.Cuts") (width 0.05) (tstamp 00000000-0000-0000-0000-000061863799)) + (gr_line (start 126.619 79.5655) (end 126.619 118.1735) (layer "Edge.Cuts") (width 0.05) (tstamp 126a8c7c-c904-462b-9fa3-cc08b66e05a8)) + (gr_line (start 160.909 118.1735) (end 160.909 79.5655) (layer "Edge.Cuts") (width 0.05) (tstamp 42462258-11fc-4097-9b1c-e0f745684563)) + (gr_line (start 159.131 77.7875) (end 128.397 77.7875) (layer "Edge.Cuts") (width 0.05) (tstamp 86e0d016-af75-41ba-9843-b0857e74af65)) + (gr_arc (start 160.909 118.1735) (mid 160.388236 119.430736) (end 159.131 119.9515) (layer "Edge.Cuts") (width 0.05) (tstamp 8f5b24dc-1b99-48f7-8f40-e8d0e0f53431)) + (gr_arc (start 159.131 77.7875) (mid 160.388236 78.308264) (end 160.909 79.5655) (layer "Edge.Cuts") (width 0.05) (tstamp cb0289f0-3b83-4949-b5cc-570d290650bc)) + (gr_text "+" (at 158.488063 102.689088 90) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-0000618647c3) (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (gr_line (start 144.145 86.9442) (end 144.1196 86.9442) (layer F.SilkS) (width 0.12)) - (gr_line (start 144.145 84.49818) (end 144.145 86.9442) (layer F.SilkS) (width 0.2)) - (gr_line (start 143.880417 84.49818) (end 143.880417 86.9442) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.145 93.9165) (end 144.145 93.9165) (layer F.SilkS) (width 0.12) (tstamp 6187532E)) - (gr_line (start 144.145 92.6465) (end 144.145 93.898664) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.018 92.583) (end 144.145 92.6465) (layer F.SilkS) (width 0.12)) - (gr_line (start 143.8275 92.5195) (end 144.018 92.583) (layer F.SilkS) (width 0.12)) - (gr_line (start 143.880417 93.89872) (end 143.88084 92.53728) (layer F.SilkS) (width 0.2)) - (gr_text F (at 158.488063 95.069088 90) (layer B.SilkS) (tstamp 618647C6) + (gr_text "-" (at 158.488063 100.149088 90) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-0000618647c4) (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (gr_text C (at 158.488063 97.609088 90) (layer B.SilkS) (tstamp 618647C5) + (gr_text "C" (at 158.488063 97.609088 90) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-0000618647c5) (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (gr_text - (at 158.488063 100.149088 90) (layer B.SilkS) (tstamp 618647C4) + (gr_text "F" (at 158.488063 95.069088 90) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-0000618647c6) (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (gr_text + (at 158.488063 102.689088 90) (layer B.SilkS) (tstamp 618647C3) + (gr_text "-" (at 129.159 100.203 90) (layer "B.SilkS") (tstamp 7a0f8760-f63b-4c30-88d6-1101d576ff38) (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (gr_arc (start 128.397 118.1735) (end 126.619 118.1735) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 61863788)) - (gr_arc (start 128.397 79.5655) (end 128.397 77.7875) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 61863799)) - (gr_arc (start 159.131 79.5655) (end 160.909 79.5655) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 61863788)) - (gr_arc (start 159.131 118.1735) (end 159.131 119.9515) (angle -90) (layer Edge.Cuts) (width 0.05)) - (gr_line (start 155.162415 108.437827) (end 150.54771 108.437827) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.54771 89.371289) (end 155.162415 89.371289) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.54771 93.898664) (end 150.54771 89.371289) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 93.898664) (end 150.54771 93.898664) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 99.009462) (end 155.162415 98.744878) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.145 99.009462) (end 155.162415 99.009462) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.54771 89.106707) (end 150.54771 84.497687) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 89.106707) (end 150.54771 89.106707) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 89.371289) (end 155.162415 89.106707) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 94.163245) (end 155.162415 93.898664) (layer F.SilkS) (width 0.2)) - (gr_line (start 147.701892 94.163245) (end 155.162415 94.163245) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 103.910454) (end 155.162415 103.645873) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.54771 103.910454) (end 155.162415 103.910454) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 94.163245) (end 140.012436 94.163245) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 93.898664) (end 133.230937 94.163245) (layer F.SilkS) (width 0.2)) - (gr_line (start 137.917473 93.898664) (end 133.230937 93.898664) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.145 84.233103) (end 144.145 77.7875) (layer F.SilkS) (width 0.2)) - (gr_line (start 149.486793 84.233103) (end 144.145 84.233103) (layer F.SilkS) (width 0.2)) - (gr_line (start 149.486793 83.462091) (end 149.486793 84.233103) (layer F.SilkS) (width 0.2)) - (gr_line (start 145.220904 83.462091) (end 149.486793 83.462091) (layer F.SilkS) (width 0.2)) - (gr_line (start 145.220904 79.495927) (end 145.220904 83.462091) (layer F.SilkS) (width 0.2)) - (gr_line (start 140.277019 94.163245) (end 144.012709 94.163245) (layer F.SilkS) (width 0.2)) - (gr_line (start 140.277019 96.739834) (end 140.277019 94.163245) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 79.495927) (end 133.230937 79.760508) (layer F.SilkS) (width 0.2)) - (gr_line (start 142.964711 79.495927) (end 133.230937 79.495927) (layer F.SilkS) (width 0.2)) - (gr_line (start 142.964711 83.456409) (end 142.964711 79.495927) (layer F.SilkS) (width 0.2)) - (gr_line (start 143.880417 98.744878) (end 133.230937 98.744878) (layer F.SilkS) (width 0.2)) - (gr_line (start 143.880417 97.004417) (end 143.880417 98.744878) (layer F.SilkS) (width 0.2)) - (gr_line (start 140.012436 97.004417) (end 143.880417 97.004417) (layer F.SilkS) (width 0.2)) - (gr_line (start 140.012436 94.163245) (end 140.012436 97.004417) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 103.910454) (end 137.917473 103.910454) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 103.645873) (end 133.230937 103.910454) (layer F.SilkS) (width 0.2)) - (gr_line (start 137.917473 103.645873) (end 133.230937 103.645873) (layer F.SilkS) (width 0.2)) - (gr_line (start 138.049765 103.645873) (end 137.917473 103.645873) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.283126 103.910454) (end 150.283126 108.702412) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 108.702412) (end 155.162415 108.437827) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.012709 96.739834) (end 140.277019 96.739834) (layer F.SilkS) (width 0.2)) - (gr_line (start 147.437307 96.739834) (end 144.012709 96.739834) (layer F.SilkS) (width 0.2)) - (gr_line (start 147.437307 94.163245) (end 147.437307 96.739834) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.012709 94.163245) (end 147.437307 94.163245) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.283126 108.702412) (end 155.162415 108.702412) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.012709 103.910454) (end 150.283126 103.910454) (layer F.SilkS) (width 0.2)) - (gr_line (start 143.880417 103.645873) (end 138.049765 103.645873) (layer F.SilkS) (width 0.2)) - (gr_line (start 143.880417 99.009462) (end 143.880417 103.645873) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 99.009462) (end 143.880417 99.009462) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 98.744878) (end 133.230937 99.009462) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.145 98.744878) (end 144.145 97.004417) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 98.744878) (end 144.145 98.744878) (layer F.SilkS) (width 0.2)) - (gr_line (start 137.917473 89.371289) (end 137.917473 93.898664) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 89.371289) (end 137.917473 89.371289) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 89.106707) (end 133.230937 89.371289) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 84.233103) (end 133.230937 84.497687) (layer F.SilkS) (width 0.2)) - (gr_line (start 138.182056 84.233103) (end 133.230937 84.233103) (layer F.SilkS) (width 0.2)) - (gr_line (start 138.182056 93.898664) (end 138.182056 84.233103) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.54771 103.645873) (end 150.415417 103.645873) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 103.645873) (end 150.54771 103.645873) (layer F.SilkS) (width 0.2)) - (gr_line (start 138.182056 108.702412) (end 138.182056 103.910454) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 108.702412) (end 138.182056 108.702412) (layer F.SilkS) (width 0.2)) - (gr_line (start 147.701892 97.004417) (end 147.701892 94.163245) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.145 97.004417) (end 147.701892 97.004417) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 79.495927) (end 145.220904 79.495927) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 79.760508) (end 155.162415 79.495927) (layer F.SilkS) (width 0.2)) - (gr_line (start 145.485487 79.760508) (end 155.162415 79.760508) (layer F.SilkS) (width 0.2)) - (gr_line (start 145.485487 83.197507) (end 145.485487 79.760508) (layer F.SilkS) (width 0.2)) - (gr_line (start 149.751377 83.197507) (end 145.485487 83.197507) (layer F.SilkS) (width 0.2)) - (gr_line (start 149.751377 84.497687) (end 149.751377 83.197507) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.145 84.497687) (end 149.751377 84.497687) (layer F.SilkS) (width 0.2)) - (gr_line (start 138.503484 84.497687) (end 143.880417 84.49818) (layer F.SilkS) (width 0.2)) - (gr_line (start 138.503484 83.191825) (end 138.503484 84.497687) (layer F.SilkS) (width 0.2)) - (gr_line (start 142.700127 83.191825) (end 138.503484 83.191825) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.145 103.645873) (end 144.145 99.009462) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.415417 103.645873) (end 144.145 103.645873) (layer F.SilkS) (width 0.2)) - (gr_line (start 142.700127 79.760508) (end 142.700127 83.191825) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 79.760508) (end 142.700127 79.760508) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 108.437827) (end 133.230937 108.702412) (layer F.SilkS) (width 0.2)) - (gr_line (start 137.917473 108.437827) (end 133.230937 108.437827) (layer F.SilkS) (width 0.2)) - (gr_line (start 137.917473 103.910454) (end 137.917473 108.437827) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 84.233103) (end 150.283126 84.233103) (layer F.SilkS) (width 0.2)) - (gr_line (start 155.162415 84.497687) (end 155.162415 84.233103) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.54771 84.497687) (end 155.162415 84.497687) (layer F.SilkS) (width 0.2)) - (gr_line (start 143.880417 93.89872) (end 138.182056 93.898664) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.283126 93.898664) (end 144.145 93.898664) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.283126 84.233103) (end 150.283126 93.898664) (layer F.SilkS) (width 0.2)) - (gr_line (start 150.54771 108.437827) (end 150.54771 103.910454) (layer F.SilkS) (width 0.2)) - (gr_line (start 138.182056 103.910454) (end 144.012709 103.910454) (layer F.SilkS) (width 0.2)) - (gr_line (start 137.917473 89.106707) (end 133.230937 89.106707) (layer F.SilkS) (width 0.2)) - (gr_line (start 137.917473 84.497687) (end 137.917473 89.106707) (layer F.SilkS) (width 0.2)) - (gr_line (start 133.230937 84.497687) (end 137.917473 84.497687) (layer F.SilkS) (width 0.2)) - (gr_line (start 138.768067 83.456409) (end 142.964711 83.456409) (layer F.SilkS) (width 0.2)) - (gr_line (start 138.768067 84.233103) (end 138.768067 83.456409) (layer F.SilkS) (width 0.2)) - (gr_line (start 143.880417 84.233103) (end 138.768067 84.233103) (layer F.SilkS) (width 0.2)) - (gr_line (start 143.880417 77.7875) (end 143.880417 84.233103) (layer F.SilkS) (width 0.2)) - (gr_line (start 144.145 77.7875) (end 143.880417 77.7875) (layer F.SilkS) (width 0.2)) - (gr_text F (at 129.159 95.123 90) (layer B.SilkS) + (gr_text "+" (at 129.159 102.743 90) (layer "B.SilkS") (tstamp 908db38f-4c42-46de-86cf-aade0a7ec868) (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (gr_text C (at 129.159 97.663 90) (layer B.SilkS) + (gr_text "C" (at 129.159 97.663 90) (layer "B.SilkS") (tstamp 991cc806-e53e-44f2-a3f4-8498b9805a93) (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (gr_text - (at 129.159 100.203 90) (layer B.SilkS) + (gr_text "F" (at 129.159 95.123 90) (layer "B.SilkS") (tstamp c300970e-e2fd-4d68-8936-a4e9cc9ec988) (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (gr_text + (at 129.159 102.743 90) (layer B.SilkS) + (gr_text "git.imaginaerraum.de/apo/adder" (at 144.018 84.963) (layer "B.SilkS") (tstamp e51cb909-307f-4406-a14b-1a5febe65da0) (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (gr_line (start 128.397 119.9515) (end 159.131 119.9515) (layer Edge.Cuts) (width 0.05) (tstamp 617E97E0)) - (gr_line (start 126.619 79.5655) (end 126.619 118.1735) (layer Edge.Cuts) (width 0.05)) - (gr_line (start 159.131 77.7875) (end 128.397 77.7875) (layer Edge.Cuts) (width 0.05)) - (gr_line (start 160.909 118.1735) (end 160.909 79.5655) (layer Edge.Cuts) (width 0.05)) - (segment (start 134.351 82.2195) (end 134.491 82.3595) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 134.351 81.0235) (end 134.351 82.2195) (width 0.2) (layer B.Cu) (net 1)) - (via (at 150.477 81.3635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 150.563 81.2775) (end 150.477 81.3635) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 151.115 81.2775) (end 150.563 81.2775) (width 0.2) (layer B.Cu) (net 1)) - (via (at 153.227 78.77749) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 152.527 78.9205) (end 153.08399 78.9205) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 153.08399 78.9205) (end 153.227 78.77749) (width 0.2) (layer F.Cu) (net 1)) - (via (at 153.327 83.7335) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 153.314 83.7465) (end 153.327 83.7335) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 152.527 83.7465) (end 153.314 83.7465) (width 0.2) (layer F.Cu) (net 1)) - (via (at 139.127 91.5035) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 139.177 91.4535) (end 139.127 91.5035) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 139.177 90.6755) (end 139.177 91.4535) (width 0.2) (layer B.Cu) (net 1)) - (via (at 142.677 91.7235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 142.733 91.6675) (end 142.677 91.7235) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 142.733 90.6755) (end 142.733 91.6675) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 139.177 82.3035) (end 139.137 82.3435) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 139.177 82.7275) (end 139.177 82.3035) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 139.571 83.1215) (end 139.177 82.7275) (width 0.2) (layer B.Cu) (net 1)) - (via (at 139.137 82.3435) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 139.137 82.3435) (end 139.177 81.0235) (width 0.2) (layer B.Cu) (net 1)) - (via (at 133.647 82.3535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 133.653 82.3595) (end 133.647 82.3535) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 134.491 82.3595) (end 133.653 82.3595) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 146.289 81.0235) (end 146.073545 81.0235) (width 0.2) (layer B.Cu) (net 1)) - (via (at 145.655405 81.44164) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 146.073545 81.0235) (end 145.655405 81.44164) (width 0.2) (layer B.Cu) (net 1)) - (via (at 151.197 107.7035) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 151.37 107.8765) (end 151.197 107.7035) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 152.599 107.8765) (end 151.37 107.8765) (width 0.2) (layer F.Cu) (net 1)) - (via (at 153.127 92.7335) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 152.527 93.3335) (end 153.127 92.7335) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 152.527 93.3985) (end 152.527 93.3335) (width 0.2) (layer F.Cu) (net 1)) - (via (at 157.847 79.3335) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 157.861 79.3475) (end 157.847 79.3335) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 157.861 80.1905) (end 157.861 79.3475) (width 0.2) (layer F.Cu) (net 1)) - (via (at 153.177425 98.131291) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 152.527 98.2245) (end 153.084216 98.2245) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 153.084216 98.2245) (end 153.177425 98.131291) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 152.527 103.0505) (end 152.113439 102.636939) (width 0.2) (layer F.Cu) (net 1)) - (via (at 151.996959 102.636939) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 152.113439 102.636939) (end 151.996959 102.636939) (width 0.2) (layer F.Cu) (net 1)) - (via (at 152.557 87.6035) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 152.527 87.6335) (end 152.557 87.6035) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 152.527 88.5725) (end 152.527 87.6335) (width 0.2) (layer F.Cu) (net 1)) - (via (at 139.437 107.9335) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 139.357 108.0135) (end 139.437 107.9335) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 138.303 108.0135) (end 139.357 108.0135) (width 0.2) (layer B.Cu) (net 1)) - (via (at 129.227 79.3635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 129.175 79.4155) (end 129.227 79.3635) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 129.175 80.1905) (end 129.175 79.4155) (width 0.2) (layer F.Cu) (net 1)) - (via (at 146.887 91.6235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 146.543 90.6755) (end 146.543 91.2795) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 146.543 91.2795) (end 146.887 91.6235) (width 0.2) (layer B.Cu) (net 1)) - (via (at 144.587 94.3035) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 149.697 92.1835) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 156.298694 91.869171) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 156.195 91.765477) (end 156.298694 91.869171) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 156.195 91.1835) (end 156.195 91.765477) (width 0.2) (layer B.Cu) (net 1)) - (segment (start 149.225 101.5875) (end 150.637 102.9995) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 149.225 100.3275) (end 149.225 101.5875) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 129.659 86.3065) (end 129.659 86.1795) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 154.237 79.3775) (end 154.305 79.3095) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 152.415 79.3775) (end 154.237 79.3775) (width 0.2) (layer B.Cu) (net 2)) - (via (at 155.287 79.2935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 155.271 79.3095) (end 155.287 79.2935) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 154.305 79.3095) (end 155.271 79.3095) (width 0.2) (layer B.Cu) (net 2)) - (via (at 148.837 82.9235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 148.527 82.6135) (end 148.837 82.9235) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 147.957 82.6135) (end 148.527 82.6135) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 147.589 79.1235) (end 147.967 79.1235) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 147.967 79.1235) (end 148.087 79.0035) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 149.15939 79.356432) (end 149.15939 79.55589) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 148.087 79.0035) (end 148.806458 79.0035) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 148.806458 79.0035) (end 149.15939 79.356432) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 149.15939 79.55589) (end 149.487 79.8835) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 149.487 82.2735) (end 148.837 82.9235) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 149.487 79.8835) (end 149.487 82.2735) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 140.477 87.8435) (end 140.557 87.7635) (width 0.2) (layer B.Cu) (net 2)) - (via (at 140.557 87.7635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 140.477 88.7755) (end 140.477 87.8435) (width 0.2) (layer B.Cu) (net 2)) - (via (at 141.867 79.4735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 140.477 79.1235) (end 141.517 79.1235) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 141.517 79.1235) (end 141.867 79.4735) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 136.664 89.8625) (end 136.779 89.9775) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.664 85.0365) (end 136.779 85.1515) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.204 84.6405) (end 136.117 84.5535) (width 0.2) (layer F.Cu) (net 2)) - (via (at 136.117 84.5535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 136.204 85.0365) (end 136.204 84.6405) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 135.501 85.0365) (end 136.204 85.0365) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.204 85.0365) (end 136.664 85.0365) (width 0.2) (layer F.Cu) (net 2)) - (via (at 136.137 89.3735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 136.208 89.8625) (end 136.208 89.4445) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 135.501 89.8625) (end 136.208 89.8625) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.208 89.4445) (end 136.137 89.3735) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.208 89.8625) (end 136.664 89.8625) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.664 99.5145) (end 136.779 99.6295) (width 0.2) (layer F.Cu) (net 2)) - (via (at 136.157 99.9135) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 136.571 100.3275) (end 136.157 99.9135) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 136.891 100.3275) (end 136.571 100.3275) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 136.198 99.8725) (end 136.198 99.5145) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.157 99.9135) (end 136.198 99.8725) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.198 99.5145) (end 136.664 99.5145) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 135.501 99.5145) (end 136.198 99.5145) (width 0.2) (layer F.Cu) (net 2)) - (via (at 158.737 89.1835) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 158.637 89.2835) (end 158.737 89.1835) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 157.495 89.2835) (end 158.637 89.2835) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 158.361 88.8075) (end 158.737 89.1835) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 158.361 86.3065) (end 158.361 88.8075) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 158.361 86.1815) (end 159.639 84.9035) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 158.361 86.3065) (end 158.361 86.1815) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 142.344457 98.5495) (end 141.847994 98.053037) (width 0.2) (layer B.Cu) (net 2)) - (via (at 141.847994 98.053037) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 142.987 98.5495) (end 142.344457 98.5495) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 136.664 104.3405) (end 136.779 104.4555) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 135.501 104.3405) (end 136.664 104.3405) (width 0.2) (layer F.Cu) (net 2)) - (via (at 136.157 103.9535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 136.659 104.4555) (end 136.157 103.9535) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.779 104.4555) (end 136.659 104.4555) (width 0.2) (layer F.Cu) (net 2)) - (via (at 136.167 109.6535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 136.427 109.9135) (end 136.167 109.6535) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 137.003 109.9135) (end 136.427 109.9135) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 136.407 109.6535) (end 136.779 109.2815) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.167 109.6535) (end 136.407 109.6535) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 135.988 109.6535) (end 135.501 109.1665) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.167 109.6535) (end 135.988 109.6535) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 154.051 100.6495) (end 154.607233 101.205733) (width 0.2) (layer B.Cu) (net 2)) - (via (at 154.607233 101.42349) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 154.607233 101.205733) (end 154.607233 101.42349) (width 0.2) (layer B.Cu) (net 2)) - (via (at 130.577 85.9335) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 130.246 85.6025) (end 130.577 85.9335) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 130.236 85.6025) (end 130.246 85.6025) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 129.659 86.1795) (end 130.236 85.6025) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 130.236 85.6025) (end 130.937 84.9015) (width 0.2) (layer F.Cu) (net 2)) - (via (at 148.968771 101.435148) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 149.121123 101.5875) (end 148.968771 101.435148) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 149.225 101.5875) (end 149.121123 101.5875) (width 0.2) (layer B.Cu) (net 2)) - (via (at 148.797 88.6535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 148.675 88.7755) (end 148.797 88.6535) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 147.843 88.7755) (end 148.675 88.7755) (width 0.2) (layer B.Cu) (net 2)) - (via (at 144.857 88.4535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 144.033 88.7755) (end 144.535 88.7755) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 144.535 88.7755) (end 144.857 88.4535) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 136.779 94.8035) (end 136.779 94.352048) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 135.501 94.6885) (end 136.11407 94.6885) (width 0.2) (layer F.Cu) (net 2)) - (via (at 136.614761 94.187809) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 136.779 94.352048) (end 136.614761 94.187809) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 136.11407 94.6885) (end 136.614761 94.187809) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 153.869 103.1855) (end 153.287 102.6035) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 154.051 103.1855) (end 153.869 103.1855) (width 0.2) (layer B.Cu) (net 2)) - (via (at 153.287 102.6035) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (via (at 137.702689 79.338166) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) - (segment (start 136.249786 78.524714) (end 137.313501 78.524714) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 137.702689 78.913902) (end 137.702689 79.338166) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 137.313501 78.524714) (end 137.702689 78.913902) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 135.651 79.1235) (end 136.249786 78.524714) (width 0.2) (layer B.Cu) (net 2)) - (via (at 131.191 89.0905) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) - (segment (start 130.556 89.7255) (end 131.191 89.0905) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 130.063 89.7255) (end 130.556 89.7255) (width 0.2) (layer B.Cu) (net 2)) - (segment (start 132.040999 102.845501) (end 131.191 103.6955) (width 0.2) (layer F.Cu) (net 2)) - (segment (start 152.989 100.4765) (end 152.027 99.5145) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 152.989 100.6475) (end 152.989 100.4765) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.012 95.6735) (end 152.027 94.6885) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.012 95.8215) (end 153.012 95.6735) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 152.099 109.3635) (end 153.035 110.2995) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 152.099 109.1665) (end 152.099 109.3635) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.501 81.3285) (end 134.516 81.3435) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.501 80.2105) (end 134.501 81.3285) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.012 81.1955) (end 152.027 80.2105) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.012 81.3435) (end 153.012 81.1955) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.501 110.2845) (end 134.516 110.2995) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.501 109.1665) (end 134.501 110.2845) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.501 109.1665) (end 134.501 108.6775) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.501 108.6775) (end 133.577 107.7535) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 133.577 101.5865) (end 134.516 100.6475) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 133.577 107.7535) (end 133.577 101.5865) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.516 99.5295) (end 134.501 99.5145) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.516 100.6475) (end 134.516 99.5295) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 152.442011 82.828585) (end 152.442011 81.913489) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 150.797 84.473596) (end 152.442011 82.828585) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 152.442011 81.913489) (end 153.012 81.3435) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 152.027 94.6885) (end 151.627 94.6885) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 151.627 94.6885) (end 150.797 93.8585) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 150.797 93.8585) (end 150.797 84.473596) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.501 94.6885) (end 134.402 94.6885) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.516 94.8025) (end 134.516 95.8215) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.402 94.6885) (end 134.516 94.8025) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.501 99.0595) (end 134.501 99.5145) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.500999 95.836501) (end 134.501 99.0595) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.516 95.8215) (end 134.500999 95.836501) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 154.557 111.5435) (end 155.325021 110.775479) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 151.217 110.9235) (end 151.837 111.5435) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 151.514 109.1665) (end 151.217 109.4635) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 151.837 111.5435) (end 154.557 111.5435) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 152.099 109.1665) (end 151.514 109.1665) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 155.325021 110.775479) (end 155.325021 103.543519) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 155.325021 103.543519) (end 154.806001 103.024499) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.012 95.8215) (end 153.012 96.9285) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.012 96.9285) (end 155.207234 99.123734) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 155.207234 102.623266) (end 154.806001 103.024499) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 155.207234 99.123734) (end 155.207234 102.623266) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.516 81.3435) (end 133.447 81.3435) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 133.447 81.3435) (end 132.887 81.9035) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 132.887 81.9035) (end 132.887 85.9335) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 132.887 85.9335) (end 133.837 86.8835) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 133.837 86.8835) (end 133.837 87.5735) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 133.837 87.5735) (end 132.827 88.5835) (width 0.2) (layer F.Cu) (net 3)) - (via (at 132.537 94.4835) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) - (segment (start 132.827 94.1935) (end 132.537 94.4835) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 132.827 88.5835) (end 132.827 94.1935) (width 0.2) (layer F.Cu) (net 3)) - (via (at 134.067 93.7535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) - (segment (start 133.337 94.4835) (end 134.067 93.7535) (width 0.2) (layer B.Cu) (net 3)) - (segment (start 132.537 94.4835) (end 133.337 94.4835) (width 0.2) (layer B.Cu) (net 3)) - (segment (start 134.067 94.2545) (end 134.501 94.6885) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.067 93.7535) (end 134.067 94.2545) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 151.217 109.4635) (end 151.217 110.6035) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 151.217 110.6035) (end 151.217 110.9235) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 154.806001 102.894499) (end 153.969313 102.057811) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.057001 100.715501) (end 152.989 100.6475) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.969313 102.057811) (end 153.555157 102.057811) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 154.806001 103.024499) (end 154.806001 102.894499) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.057001 101.559655) (end 153.057001 100.715501) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 153.555157 102.057811) (end 153.057001 101.559655) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.516 111.8465) (end 138.049 115.3795) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 134.516 110.2995) (end 134.516 111.8465) (width 0.2) (layer F.Cu) (net 3)) - (via (at 147.193 111.3155) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) - (segment (start 147.585 110.9235) (end 147.193 111.3155) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 151.217 110.9235) (end 147.585 110.9235) (width 0.2) (layer F.Cu) (net 3)) - (segment (start 139.399001 116.729501) (end 138.049 115.3795) (width 0.2) (layer B.Cu) (net 3)) - (segment (start 141.778999 116.729501) (end 139.399001 116.729501) (width 0.2) (layer B.Cu) (net 3)) - (segment (start 147.193 111.3155) (end 141.778999 116.729501) (width 0.2) (layer B.Cu) (net 3)) - (segment (start 146.947 104.4885) (end 147.932 105.4735) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 146.947 104.3405) (end 146.947 104.4885) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 153.012 86.0215) (end 152.027 85.0365) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 153.012 86.1695) (end 153.012 86.0215) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 146.947 80.3585) (end 147.932 81.3435) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 146.947 80.2105) (end 146.947 80.3585) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 139.581 81.3285) (end 139.596 81.3435) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 139.581 80.2105) (end 139.581 81.3285) (width 0.2) (layer F.Cu) (net 4)) - (via (at 144.747 80.6135) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4)) - (segment (start 146.947 80.2105) (end 145.15 80.2105) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 145.15 80.2105) (end 144.747 80.6135) (width 0.2) (layer F.Cu) (net 4)) - (via (at 143.877 81.6935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4)) - (segment (start 144.747 80.6135) (end 144.747 80.8235) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 144.747 80.8235) (end 143.877 81.6935) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 143.877 81.6935) (end 142.987 82.5835) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 140.836 82.5835) (end 139.596 81.3435) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 142.987 82.5835) (end 140.836 82.5835) (width 0.2) (layer F.Cu) (net 4)) - (via (at 137.247 83.0035) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4)) - (segment (start 137.247 83.0035) (end 134.527 83.0035) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 134.527 83.0035) (end 133.887 83.6435) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 133.887 84.4225) (end 134.501 85.0365) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 133.887 83.6435) (end 133.887 84.4225) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 134.501 86.1545) (end 134.516 86.1695) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 134.501 85.0365) (end 134.501 86.1545) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 139.581 105.4585) (end 139.596 105.4735) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 139.581 104.3405) (end 139.581 105.4585) (width 0.2) (layer F.Cu) (net 4)) - (via (at 151.437 84.9635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4)) - (segment (start 151.51 85.0365) (end 151.437 84.9635) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 152.027 85.0365) (end 151.51 85.0365) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 151.437 84.9635) (end 150.697 84.2235) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 150.697 84.2235) (end 145.017 84.2235) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 143.877 83.0835) (end 143.877 81.6935) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 145.017 84.2235) (end 143.877 83.0835) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 139.596 105.4735) (end 139.596 105.9545) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 138.607 106.9435) (end 135.867 106.9435) (width 0.2) (layer F.Cu) (net 4)) - (via (at 135.867 106.9435) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4)) - (segment (start 139.596 105.9545) (end 138.607 106.9435) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 138.147789 82.102711) (end 138.31701 82.102711) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 139.076221 81.3435) (end 138.31701 82.102711) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 137.247 83.0035) (end 138.147789 82.102711) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 139.596 81.3435) (end 139.076221 81.3435) (width 0.2) (layer F.Cu) (net 4)) - (via (at 138.31701 82.102711) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4)) - (segment (start 134.516 86.1695) (end 134.516 87.7602) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 134.516 87.7602) (end 133.227011 89.049189) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 133.227011 89.049189) (end 133.227011 96.859189) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 133.227011 96.859189) (end 132.867011 97.219189) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 143.818996 103.6135) (end 143.858998 103.573498) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 146.179998 103.573498) (end 146.947 104.3405) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 139.581 104.3405) (end 140.308 103.6135) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 140.308 103.6135) (end 143.818996 103.6135) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 143.858998 103.573498) (end 146.179998 103.573498) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 131.571311 97.219189) (end 131.191 97.5995) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 132.867011 97.219189) (end 131.571311 97.219189) (width 0.2) (layer F.Cu) (net 4)) - (segment (start 134.439 106.9435) (end 135.867 106.9435) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 130.175 105.2195) (end 132.715 105.2195) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 132.715 105.2195) (end 134.439 106.9435) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 129.667 104.7115) (end 130.175 105.2195) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 129.667 99.1235) (end 129.667 104.7115) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 131.191 97.5995) (end 129.667 99.1235) (width 0.2) (layer B.Cu) (net 4)) - (segment (start 147.955 100.5225) (end 146.947 99.5145) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 147.955 100.6475) (end 147.955 100.5225) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 153.012 105.3255) (end 152.027 104.3405) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 153.012 105.4735) (end 153.012 105.3255) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 134.516 104.3555) (end 134.501 104.3405) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 134.516 105.4735) (end 134.516 104.3555) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 134.501 104.3405) (end 134.501 102.2095) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 134.501 102.2095) (end 134.777 101.9335) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 134.777 101.9335) (end 138.333 101.9335) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 139.619 99.5525) (end 139.581 99.5145) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 139.619 100.6475) (end 139.619 99.5525) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 134.516 89.8775) (end 134.501 89.8625) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 134.516 90.9955) (end 134.516 89.8775) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 139.596 85.0515) (end 139.581 85.0365) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 139.596 86.1695) (end 139.596 85.0515) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 138.333 101.9335) (end 138.73 101.5365) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 138.73 101.5365) (end 139.619 100.6475) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 146.947 85.1845) (end 147.932 86.1695) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 146.947 85.0365) (end 146.947 85.1845) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 146.399996 99.5145) (end 145.396998 98.511502) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 145.396998 97.935498) (end 145.547 97.785496) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 146.947 99.5145) (end 146.399996 99.5145) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 145.396998 98.511502) (end 145.396998 97.935498) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 145.547 86.0365) (end 146.547 85.0365) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 146.547 85.0365) (end 146.947 85.0365) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 153.012 90.8475) (end 152.027 89.8625) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 153.012 90.9955) (end 153.012 90.8475) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 150.007 87.3035) (end 150.137 87.4335) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 145.547 87.3035) (end 150.007 87.3035) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 145.547 97.785496) (end 145.547 87.3035) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 145.547 87.3035) (end 145.547 86.0365) (width 0.2) (layer F.Cu) (net 5)) - (via (at 150.137 87.4335) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 5)) - (via (at 151.417 87.4735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 5)) - (segment (start 151.377 87.4335) (end 151.417 87.4735) (width 0.2) (layer B.Cu) (net 5)) - (segment (start 150.137 87.4335) (end 151.377 87.4335) (width 0.2) (layer B.Cu) (net 5)) - (segment (start 152.027 88.0835) (end 152.027 89.8625) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 151.417 87.4735) (end 152.027 88.0835) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 137.247 92.1935) (end 138.057 91.3835) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 138.057 91.3835) (end 138.057 87.7085) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 138.057 87.7085) (end 139.596 86.1695) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 135.714 92.1935) (end 137.247 92.1935) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 134.516 90.9955) (end 135.714 92.1935) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 152.027 104.3405) (end 152.027 104.1135) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 152.027 104.1135) (end 150.157 102.2435) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 146.947 99.5145) (end 146.947 99.0595) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 150.157 101.220398) (end 150.157 102.2435) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 150.245021 99.561521) (end 150.245021 101.132377) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 146.947 99.0595) (end 147.047001 98.959499) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 150.245021 101.132377) (end 150.157 101.220398) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 147.047001 98.959499) (end 149.642999 98.959499) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 149.642999 98.959499) (end 150.245021 99.561521) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 140.015002 102.133502) (end 139.327002 102.133502) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 140.165004 101.9835) (end 140.015002 102.133502) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 142.6213 101.9835) (end 140.165004 101.9835) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 142.741299 102.103499) (end 142.6213 101.9835) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 139.327002 102.133502) (end 138.73 101.5365) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 143.412701 102.103499) (end 142.741299 102.103499) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 143.5327 101.9835) (end 143.412701 102.103499) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 150.157 102.2435) (end 149.896989 102.503511) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 147.331311 102.423511) (end 146.8913 101.9835) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 147.721311 102.503511) (end 147.641311 102.423511) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 149.896989 102.503511) (end 147.721311 102.503511) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 147.641311 102.423511) (end 147.331311 102.423511) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 137.295002 92.1935) (end 137.247 92.1935) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 138.307001 93.205499) (end 137.295002 92.1935) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 138.307001 96.713501) (end 138.307001 93.205499) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 139.581 99.5145) (end 139.581 97.9875) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 139.581 97.9875) (end 138.307001 96.713501) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 144.187 101.9835) (end 143.5327 101.9835) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 146.8913 101.9835) (end 144.187 101.9835) (width 0.2) (layer F.Cu) (net 5)) - (via (at 144.147 104.1735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 5)) - (segment (start 144.147 104.1735) (end 144.147 102.0235) (width 0.2) (layer B.Cu) (net 5)) - (via (at 144.187 101.9835) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 5)) - (segment (start 144.147 102.0235) (end 144.187 101.9835) (width 0.2) (layer B.Cu) (net 5)) - (segment (start 149.381502 115.3795) (end 149.8835 115.3795) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 144.147 110.144998) (end 149.381502 115.3795) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 144.147 104.1735) (end 144.147 110.144998) (width 0.2) (layer F.Cu) (net 5)) - (segment (start 135.488 110.3015) (end 135.486 110.2995) (width 0.2) (layer F.Cu) (net 6)) - (segment (start 136.779 110.3015) (end 135.488 110.3015) (width 0.2) (layer F.Cu) (net 6)) - (segment (start 136.777 105.4735) (end 136.779 105.4755) (width 0.2) (layer F.Cu) (net 7)) - (segment (start 135.486 105.4735) (end 136.777 105.4735) (width 0.2) (layer F.Cu) (net 7)) - (segment (start 135.488 100.6495) (end 135.486 100.6475) (width 0.2) (layer F.Cu) (net 8)) - (segment (start 136.779 100.6495) (end 135.488 100.6495) (width 0.2) (layer F.Cu) (net 8)) - (segment (start 141.857 105.4735) (end 141.859 105.4755) (width 0.2) (layer F.Cu) (net 9)) - (segment (start 140.566 105.4735) (end 141.857 105.4735) (width 0.2) (layer F.Cu) (net 9)) - (segment (start 141.857 100.6475) (end 141.859 100.6495) (width 0.2) (layer F.Cu) (net 10)) - (segment (start 140.589 100.6475) (end 141.857 100.6475) (width 0.2) (layer F.Cu) (net 10)) - (segment (start 146.962 105.4735) (end 146.962 105.6885) (width 0.2) (layer F.Cu) (net 11)) - (segment (start 146.962 105.6885) (end 147.567 106.2935) (width 0.2) (layer F.Cu) (net 11)) - (segment (start 147.567 106.2935) (end 148.907 106.2935) (width 0.2) (layer F.Cu) (net 11)) - (segment (start 149.225 105.9755) (end 149.225 105.4755) (width 0.2) (layer F.Cu) (net 11)) - (segment (start 148.907 106.2935) (end 149.225 105.9755) (width 0.2) (layer F.Cu) (net 11)) - (segment (start 148.903876 100.6515) (end 149.225 100.6515) (width 0.2) (layer F.Cu) (net 12)) - (segment (start 148.212169 101.343207) (end 148.903876 100.6515) (width 0.2) (layer F.Cu) (net 12)) - (segment (start 147.680707 101.343207) (end 148.212169 101.343207) (width 0.2) (layer F.Cu) (net 12)) - (segment (start 146.985 100.6475) (end 147.680707 101.343207) (width 0.2) (layer F.Cu) (net 12)) - (segment (start 154.305 110.3015) (end 154.305 110.5855) (width 0.2) (layer F.Cu) (net 13)) - (segment (start 154.305 110.5855) (end 153.807 111.0835) (width 0.2) (layer F.Cu) (net 13)) - (segment (start 152.849 111.0835) (end 152.065 110.2995) (width 0.2) (layer F.Cu) (net 13)) - (segment (start 153.807 111.0835) (end 152.849 111.0835) (width 0.2) (layer F.Cu) (net 13)) - (segment (start 154.305 105.4755) (end 154.305 105.5955) (width 0.2) (layer F.Cu) (net 14)) - (segment (start 154.305 105.5955) (end 153.707 106.1935) (width 0.2) (layer F.Cu) (net 14)) - (segment (start 152.762 106.1935) (end 152.042 105.4735) (width 0.2) (layer F.Cu) (net 14)) - (segment (start 153.707 106.1935) (end 152.762 106.1935) (width 0.2) (layer F.Cu) (net 14)) - (segment (start 152.019 100.6475) (end 152.019 100.98549) (width 0.2) (layer F.Cu) (net 15)) - (segment (start 153.80722 101.14728) (end 153.80722 101.42349) (width 0.2) (layer F.Cu) (net 15)) - (segment (start 153.80722 101.42349) (end 152.457 101.42349) (width 0.2) (layer B.Cu) (net 15)) - (segment (start 152.019 100.98549) (end 152.457 101.42349) (width 0.2) (layer F.Cu) (net 15)) - (via (at 153.80722 101.42349) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 15)) - (segment (start 154.305 100.6495) (end 153.80722 101.14728) (width 0.2) (layer F.Cu) (net 15)) - (via (at 152.457 101.42349) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 15)) - (segment (start 135.511 108.0135) (end 134.493 109.0315) (width 0.2) (layer B.Cu) (net 16)) - (via (at 135.897 108.0135) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 16)) - (segment (start 135.001 107.8765) (end 135.76 107.8765) (width 0.2) (layer F.Cu) (net 16)) - (segment (start 135.76 107.8765) (end 135.897 108.0135) (width 0.2) (layer F.Cu) (net 16)) - (segment (start 135.897 108.0135) (end 135.511 108.0135) (width 0.2) (layer B.Cu) (net 16)) - (segment (start 137.003 108.0135) (end 135.897 108.0135) (width 0.2) (layer B.Cu) (net 16)) - (segment (start 135.001 107.8765) (end 135.001 106.8295) (width 0.2) (layer F.Cu) (net 16)) - (segment (start 135.001 106.8295) (end 135.637 106.1935) (width 0.2) (layer F.Cu) (net 16)) - (segment (start 136.996198 106.1935) (end 137.617 105.572698) (width 0.2) (layer F.Cu) (net 16)) - (segment (start 135.637 106.1935) (end 136.996198 106.1935) (width 0.2) (layer F.Cu) (net 16)) - (segment (start 137.617 105.572698) (end 137.617 103.7635) (width 0.2) (layer F.Cu) (net 16)) - (segment (start 136.904 103.0505) (end 135.001 103.0505) (width 0.2) (layer F.Cu) (net 16)) - (segment (start 137.617 103.7635) (end 136.904 103.0505) (width 0.2) (layer F.Cu) (net 16)) - (via (at 135.947 98.2435) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17)) - (segment (start 135.928 98.2245) (end 135.947 98.2435) (width 0.2) (layer F.Cu) (net 17)) - (segment (start 135.001 98.2245) (end 135.928 98.2245) (width 0.2) (layer F.Cu) (net 17)) - (segment (start 136.707 98.2435) (end 136.891 98.4275) (width 0.2) (layer B.Cu) (net 17)) - (segment (start 135.947 98.2435) (end 136.707 98.2435) (width 0.2) (layer B.Cu) (net 17)) - (via (at 138.891002 98.1735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17)) - (segment (start 139.585002 98.8675) (end 138.891002 98.1735) (width 0.2) (layer B.Cu) (net 17)) - (segment (start 139.827 98.8675) (end 139.585002 98.8675) (width 0.2) (layer B.Cu) (net 17)) - (segment (start 138.891002 98.1735) (end 138.311002 97.5935) (width 0.2) (layer F.Cu) (net 17)) - (segment (start 136.597 97.5935) (end 135.947 98.2435) (width 0.2) (layer F.Cu) (net 17)) - (segment (start 138.311002 97.5935) (end 136.597 97.5935) (width 0.2) (layer F.Cu) (net 17)) - (segment (start 140.696 104.4555) (end 140.581 104.3405) (width 0.2) (layer F.Cu) (net 18)) - (segment (start 141.859 104.4555) (end 140.696 104.4555) (width 0.2) (layer F.Cu) (net 18)) - (segment (start 141.859 104.4555) (end 142.149 104.4555) (width 0.2) (layer F.Cu) (net 18)) - (segment (start 142.47901 104.78551) (end 142.47901 106.93551) (width 0.2) (layer F.Cu) (net 18)) - (segment (start 142.149 104.4555) (end 142.47901 104.78551) (width 0.2) (layer F.Cu) (net 18)) - (via (at 139.477 109.9935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 18)) - (segment (start 139.477 109.93752) (end 139.477 109.9935) (width 0.2) (layer F.Cu) (net 18)) - (segment (start 142.47901 106.93551) (end 139.477 109.93752) (width 0.2) (layer F.Cu) (net 18)) - (segment (start 138.383 109.9935) (end 138.303 109.9135) (width 0.2) (layer B.Cu) (net 18)) - (segment (start 139.477 109.9935) (end 138.383 109.9935) (width 0.2) (layer B.Cu) (net 18)) - (segment (start 148.062 104.4555) (end 147.947 104.3405) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 149.225 104.4555) (end 148.062 104.4555) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 148.064 99.6315) (end 147.947 99.5145) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 149.225 99.6315) (end 148.064 99.6315) (width 0.2) (layer F.Cu) (net 19)) - (via (at 142.967 101.4535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 19)) - (segment (start 142.967 102.5235) (end 142.787 102.7035) (width 0.2) (layer B.Cu) (net 19)) - (via (at 142.787 102.7035) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 19)) - (segment (start 142.967 101.4535) (end 142.967 102.5235) (width 0.2) (layer B.Cu) (net 19)) - (segment (start 142.44 103.0505) (end 140.081 103.0505) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 142.787 102.7035) (end 142.44 103.0505) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 140.081 98.2245) (end 140.509538 98.653038) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 140.509538 98.653038) (end 141.817736 98.653038) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 142.967 101.029236) (end 142.967 101.4535) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 142.967 99.802302) (end 142.967 101.029236) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 142.987 95.7335) (end 142.827 95.5735) (width 0.2) (layer B.Cu) (net 19)) - (via (at 142.827 95.5735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 19)) - (segment (start 142.987 96.6495) (end 142.987 95.7335) (width 0.2) (layer B.Cu) (net 19)) - (segment (start 142.827 98.8035) (end 142.397599 99.232901) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 142.827 95.5735) (end 142.827 98.8035) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 142.397599 99.232901) (end 142.967 99.802302) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 141.817736 98.653038) (end 142.397599 99.232901) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 147.391999 103.785499) (end 147.947 104.3405) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 147.186997 103.785499) (end 147.391999 103.785499) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 146.104998 102.7035) (end 147.186997 103.785499) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 142.787 102.7035) (end 146.104998 102.7035) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 148.68077 102.035149) (end 148.669121 102.0235) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 143.266999 101.153501) (end 142.967 101.4535) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 144.245003 101.153501) (end 143.266999 101.153501) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 144.545002 101.4535) (end 144.245003 101.153501) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 149.225 99.6315) (end 149.545 99.6315) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 149.275351 102.035149) (end 148.68077 102.035149) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 146.927 101.4535) (end 144.545002 101.4535) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 149.737 101.5735) (end 149.275351 102.035149) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 149.737 101.074698) (end 149.737 101.5735) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 149.84501 100.966688) (end 149.737 101.074698) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 147.497 102.0235) (end 146.927 101.4535) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 149.545 99.6315) (end 149.84501 99.93151) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 148.669121 102.0235) (end 147.497 102.0235) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 149.84501 99.93151) (end 149.84501 100.966688) (width 0.2) (layer F.Cu) (net 19)) - (segment (start 141.744 99.5145) (end 141.859 99.6295) (width 0.2) (layer F.Cu) (net 20)) - (segment (start 140.581 99.5145) (end 141.744 99.5145) (width 0.2) (layer F.Cu) (net 20)) - (via (at 139.727 101.5335) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 20)) - (segment (start 138.521 100.3275) (end 138.191 100.3275) (width 0.2) (layer B.Cu) (net 20)) - (segment (start 139.727 101.5335) (end 138.521 100.3275) (width 0.2) (layer B.Cu) (net 20)) - (segment (start 142.47901 100.964688) (end 141.910198 101.5335) (width 0.2) (layer F.Cu) (net 20)) - (segment (start 142.47901 99.92951) (end 142.47901 100.964688) (width 0.2) (layer F.Cu) (net 20)) - (segment (start 142.179 99.6295) (end 142.47901 99.92951) (width 0.2) (layer F.Cu) (net 20)) - (segment (start 141.910198 101.5335) (end 139.727 101.5335) (width 0.2) (layer F.Cu) (net 20)) - (segment (start 141.859 99.6295) (end 142.179 99.6295) (width 0.2) (layer F.Cu) (net 20)) - (via (at 148.404908 103.173521) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 21)) - (segment (start 149.337 102.9995) (end 148.578929 102.9995) (width 0.2) (layer B.Cu) (net 21)) - (segment (start 147.447 103.0505) (end 148.281887 103.0505) (width 0.2) (layer F.Cu) (net 21)) - (segment (start 148.578929 102.9995) (end 148.404908 103.173521) (width 0.2) (layer B.Cu) (net 21)) - (segment (start 148.281887 103.0505) (end 148.404908 103.173521) (width 0.2) (layer F.Cu) (net 21)) - (segment (start 147.447 98.2245) (end 147.781988 98.559488) (width 0.2) (layer F.Cu) (net 22)) - (segment (start 150.525 100.3275) (end 150.525 100.882228) (width 0.2) (layer B.Cu) (net 22)) - (segment (start 150.841366 99.592166) (end 150.841366 101.198594) (width 0.2) (layer F.Cu) (net 22)) - (segment (start 150.525 100.882228) (end 150.841366 101.198594) (width 0.2) (layer B.Cu) (net 22)) - (segment (start 147.781988 98.559488) (end 149.808688 98.559488) (width 0.2) (layer F.Cu) (net 22)) - (segment (start 149.808688 98.559488) (end 150.841366 99.592166) (width 0.2) (layer F.Cu) (net 22)) - (via (at 150.841366 101.198594) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 22)) - (segment (start 154.19 109.1665) (end 154.305 109.2815) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 153.099 109.1665) (end 154.19 109.1665) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 153.142 104.4555) (end 153.027 104.3405) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 154.305 104.4555) (end 153.142 104.4555) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 154.305 109.2815) (end 154.92501 108.66149) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 154.92501 105.07551) (end 154.305 104.4555) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 150.637 105.6335) (end 151.117 106.1135) (width 0.2) (layer B.Cu) (net 23)) - (via (at 151.117 106.1135) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 23)) - (segment (start 150.637 104.8995) (end 150.637 105.6335) (width 0.2) (layer B.Cu) (net 23)) - (segment (start 151.95899 106.95549) (end 154.92501 106.95549) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 151.117 106.1135) (end 151.95899 106.95549) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 154.92501 106.95549) (end 154.92501 105.07551) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 154.92501 108.66149) (end 154.92501 106.95549) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 154.051 104.2055) (end 153.731 104.2055) (width 0.2) (layer B.Cu) (net 23)) - (segment (start 153.027 103.866432) (end 153.228388 103.665044) (width 0.2) (layer F.Cu) (net 23)) - (via (at 153.228388 103.665044) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 23)) - (segment (start 153.228388 103.702888) (end 153.228388 103.665044) (width 0.2) (layer B.Cu) (net 23)) - (segment (start 153.731 104.2055) (end 153.228388 103.702888) (width 0.2) (layer B.Cu) (net 23)) - (segment (start 153.027 104.3405) (end 153.027 103.866432) (width 0.2) (layer F.Cu) (net 23)) - (segment (start 153.142 99.6295) (end 153.027 99.5145) (width 0.2) (layer F.Cu) (net 24)) - (segment (start 154.305 99.6295) (end 153.142 99.6295) (width 0.2) (layer F.Cu) (net 24)) - (via (at 153.937 98.9835) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 24)) - (segment (start 154.051 99.0975) (end 153.937 98.9835) (width 0.2) (layer B.Cu) (net 24)) - (segment (start 154.051 99.6295) (end 154.051 99.0975) (width 0.2) (layer B.Cu) (net 24)) - (segment (start 153.937 99.2615) (end 154.305 99.6295) (width 0.2) (layer F.Cu) (net 24)) - (segment (start 153.937 98.9835) (end 153.937 99.2615) (width 0.2) (layer F.Cu) (net 24)) - (segment (start 152.471999 98.959499) (end 152.182999 98.959499) (width 0.2) (layer F.Cu) (net 24)) - (segment (start 153.027 99.5145) (end 152.471999 98.959499) (width 0.2) (layer F.Cu) (net 24)) - (segment (start 152.182999 98.959499) (end 151.297 98.0735) (width 0.2) (layer F.Cu) (net 24)) - (via (at 148.747 97.8935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 24)) - (segment (start 148.927 98.0735) (end 148.747 97.8935) (width 0.2) (layer F.Cu) (net 24)) - (segment (start 151.297 98.0735) (end 148.927 98.0735) (width 0.2) (layer F.Cu) (net 24)) - (segment (start 148.747 97.9495) (end 149.225 98.4275) (width 0.2) (layer B.Cu) (net 24)) - (segment (start 148.747 97.8935) (end 148.747 97.9495) (width 0.2) (layer B.Cu) (net 24)) - (segment (start 146.939 78.5755) (end 146.861999 78.498499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 146.939 81.0235) (end 146.939 78.5755) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 139.827 78.643498) (end 139.682001 78.498499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 139.827 81.0235) (end 139.827 78.643498) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 148.322691 78.473491) (end 148.347699 78.498499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 146.887007 78.473491) (end 148.322691 78.473491) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 148.347699 78.498499) (end 151.531999 78.498499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 151.531999 78.498499) (end 151.765 78.7315) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 151.765 80.7525) (end 151.765 81.2775) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 146.861999 78.498499) (end 146.887007 78.473491) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.181999 78.498499) (end 146.861999 78.498499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 139.682001 78.498499) (end 143.181999 78.498499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 140.45 89.5275) (end 143.383 89.5275) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 139.827 90.1505) (end 140.45 89.5275) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 139.827 90.6755) (end 139.827 90.1505) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.383 90.6755) (end 143.383 89.5275) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 146.57 89.5275) (end 143.383 89.5275) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 147.193 90.1505) (end 146.57 89.5275) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 147.193 90.6755) (end 147.193 90.1505) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.383 78.6995) (end 143.181999 78.498499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.206998 81.991502) (end 143.206998 81.325498) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.383 81.149496) (end 143.383 78.6995) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.383 82.167504) (end 143.206998 81.991502) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.206998 81.325498) (end 143.383 81.149496) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.383 89.5275) (end 143.383 82.167504) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 149.875 98.4275) (end 149.875 101.2115) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 149.875 101.2115) (end 151.167 102.5035) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 149.987 104.484498) (end 149.987 104.8995) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 150.427003 104.274499) (end 150.196999 104.274499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 151.167 103.534502) (end 150.427003 104.274499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 150.196999 104.274499) (end 149.987 104.484498) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 151.167 102.5035) (end 151.167 103.534502) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 137.653 98.5395) (end 137.541 98.4275) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 137.653 108.0135) (end 137.653 101.5075) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 137.653 101.5075) (end 137.653 98.5395) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.637 96.6495) (end 143.637 97.2035) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 149.875 97.9025) (end 149.875 98.4275) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 149.247001 97.274501) (end 149.875 97.9025) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.708001 97.274501) (end 149.247001 97.274501) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.637 97.2035) (end 143.708001 97.274501) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 156.845 88.868498) (end 154.957 86.980498) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 156.845 91.1835) (end 156.845 88.868498) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 154.957 86.980498) (end 154.957 82.3035) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 152.685 80.0315) (end 151.765 80.0315) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 154.957 82.3035) (end 152.685 80.0315) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 151.765 80.0315) (end 151.765 80.7525) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 151.765 78.7315) (end 151.765 80.0315) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.383 90.6755) (end 143.383 95.241496) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.637 95.495496) (end 143.637 96.6495) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 143.383 95.241496) (end 143.637 95.495496) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 137.036998 93.761502) (end 137.036998 93.185498) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 138.108996 92.1135) (end 139.827 92.1135) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 137.036998 93.185498) (end 138.108996 92.1135) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 137.541 98.4275) (end 137.541 94.265504) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 139.827 92.1135) (end 139.827 90.6755) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 137.541 94.265504) (end 137.036998 93.761502) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 135.584795 78.124703) (end 139.308205 78.124703) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 135.001 78.708498) (end 135.584795 78.124703) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 135.001 81.0235) (end 135.001 78.708498) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 139.308205 78.124703) (end 139.682001 78.498499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 151.647009 102.023491) (end 151.167 102.5035) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 153.450684 101.950159) (end 152.874682 101.950159) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 152.80135 102.023491) (end 151.647009 102.023491) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 153.524016 102.023491) (end 153.450684 101.950159) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 152.874682 101.950159) (end 152.80135 102.023491) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 157.793081 95.0595) (end 156.591 95.0595) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 158.496 94.356581) (end 157.793081 95.0595) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 158.496 93.1545) (end 158.496 94.356581) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 158.022999 92.681499) (end 158.496 93.1545) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 156.845 91.504498) (end 158.022001 92.681499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 158.022001 92.681499) (end 158.022999 92.681499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 156.845 91.1835) (end 156.845 91.504498) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 129.413 91.6255) (end 129.413 93.2815) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 129.413 93.2815) (end 131.191 95.0595) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 133.956 101.5075) (end 137.653 101.5075) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 133.223 100.7745) (end 133.956 101.5075) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 133.223 97.0915) (end 133.223 100.7745) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 131.191 95.0595) (end 133.223 97.0915) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 157.741001 96.209501) (end 156.591 95.0595) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 157.741001 103.769501) (end 157.741001 96.209501) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 157.681001 103.829501) (end 157.741001 103.769501) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 155.500999 103.829501) (end 157.681001 103.829501) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 155.440999 103.769501) (end 155.500999 103.829501) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 155.440999 103.053499) (end 155.440999 103.769501) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 154.410991 102.023491) (end 155.440999 103.053499) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 153.524016 102.023491) (end 154.410991 102.023491) (width 0.2) (layer B.Cu) (net 25)) - (segment (start 159.639 83.8835) (end 158.346 83.8835) (width 0.2) (layer F.Cu) (net 26)) - (segment (start 157.376 83.5835) (end 158.346 82.6135) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 157.376 83.8835) (end 157.376 83.5835) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 158.346 82.4655) (end 157.361 81.4805) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 158.346 82.6135) (end 158.346 82.4655) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 157.361 83.8985) (end 157.361 86.3065) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 157.376 83.8835) (end 157.361 83.8985) (width 0.2) (layer F.Cu) (net 27)) - (via (at 151.017 97.2535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 27)) - (segment (start 147.932 95.6735) (end 146.947 94.6885) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 147.932 95.8215) (end 147.932 95.6735) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 146.947 94.6885) (end 146.722 94.6885) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 145.997 95.4135) (end 145.997 98.2235) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 146.722 94.6885) (end 145.997 95.4135) (width 0.2) (layer F.Cu) (net 27)) - (via (at 145.997 98.2235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 27)) - (segment (start 144.613 98.2235) (end 144.287 98.5495) (width 0.2) (layer B.Cu) (net 27)) - (segment (start 145.997 98.2235) (end 144.613 98.2235) (width 0.2) (layer B.Cu) (net 27)) - (via (at 141.887 97.2435) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 27)) - (segment (start 142.567999 97.924499) (end 141.887 97.2435) (width 0.2) (layer B.Cu) (net 27)) - (segment (start 143.661999 97.924499) (end 142.567999 97.924499) (width 0.2) (layer B.Cu) (net 27)) - (segment (start 144.287 98.5495) (end 143.661999 97.924499) (width 0.2) (layer B.Cu) (net 27)) - (segment (start 141.887 97.2435) (end 141.577 96.9335) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 141.577 96.9335) (end 139.957 96.9335) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 139.619 96.5955) (end 139.619 95.8215) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 139.957 96.9335) (end 139.619 96.5955) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 139.619 94.7265) (end 139.581 94.6885) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 139.619 95.8215) (end 139.619 94.7265) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 148.227 95.8215) (end 147.932 95.8215) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 148.539445 96.133945) (end 148.227 95.8215) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 148.539445 96.748945) (end 148.539445 96.133945) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 149.044 97.2535) (end 148.539445 96.748945) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 151.017 97.2535) (end 149.044 97.2535) (width 0.2) (layer F.Cu) (net 27)) - (via (at 154.327 97.3335) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 27)) - (segment (start 151.017 97.2535) (end 154.247 97.2535) (width 0.2) (layer B.Cu) (net 27)) - (segment (start 154.247 97.2535) (end 154.327 97.3335) (width 0.2) (layer B.Cu) (net 27)) - (segment (start 157.361 86.3065) (end 157.361 91.8185) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 156.625002 92.554498) (end 156.048998 92.554498) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 157.361 91.8185) (end 156.625002 92.554498) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 156.048998 92.554498) (end 155.644988 92.958508) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 155.440999 96.219501) (end 154.327 97.3335) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 155.644988 94.027508) (end 155.440999 94.231497) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 155.440999 94.231497) (end 155.440999 96.219501) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 155.644988 92.958508) (end 155.644988 94.027508) (width 0.2) (layer F.Cu) (net 27)) - (segment (start 135.488 95.8235) (end 135.486 95.8215) (width 0.2) (layer F.Cu) (net 28)) - (segment (start 136.779 95.8235) (end 135.488 95.8235) (width 0.2) (layer F.Cu) (net 28)) - (segment (start 136.777 90.9955) (end 136.779 90.9975) (width 0.2) (layer F.Cu) (net 29)) - (segment (start 135.486 90.9955) (end 136.777 90.9955) (width 0.2) (layer F.Cu) (net 29)) - (segment (start 136.777 86.1695) (end 136.779 86.1715) (width 0.2) (layer F.Cu) (net 30)) - (segment (start 135.486 86.1695) (end 136.777 86.1695) (width 0.2) (layer F.Cu) (net 30)) - (segment (start 141.857 95.8215) (end 141.859 95.8235) (width 0.2) (layer F.Cu) (net 31)) - (segment (start 140.589 95.8215) (end 141.857 95.8215) (width 0.2) (layer F.Cu) (net 31)) - (segment (start 149.225 95.8235) (end 149.225 96.5315) (width 0.2) (layer F.Cu) (net 32)) - (segment (start 149.225 96.5315) (end 149.257 96.5635) (width 0.2) (layer F.Cu) (net 32)) - (via (at 149.257 96.5635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 32)) - (segment (start 146.962 95.8215) (end 147.814991 96.674491) (width 0.2) (layer F.Cu) (net 32)) - (segment (start 148.050434 96.5635) (end 147.939443 96.674491) (width 0.2) (layer B.Cu) (net 32)) - (segment (start 147.814991 96.674491) (end 147.939443 96.674491) (width 0.2) (layer F.Cu) (net 32)) - (via (at 147.939443 96.674491) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 32)) - (segment (start 149.257 96.5635) (end 148.050434 96.5635) (width 0.2) (layer B.Cu) (net 32)) - (segment (start 154.305 86.1715) (end 154.305 86.3955) (width 0.2) (layer F.Cu) (net 33)) - (segment (start 154.305 86.3955) (end 153.777 86.9235) (width 0.2) (layer F.Cu) (net 33)) - (segment (start 152.796 86.9235) (end 152.042 86.1695) (width 0.2) (layer F.Cu) (net 33)) - (segment (start 153.777 86.9235) (end 152.796 86.9235) (width 0.2) (layer F.Cu) (net 33)) - (segment (start 152.042 90.9955) (end 152.729989 91.683489) (width 0.2) (layer F.Cu) (net 34)) - (segment (start 152.729989 91.683489) (end 153.619011 91.683489) (width 0.2) (layer F.Cu) (net 34)) - (segment (start 153.619011 91.683489) (end 154.305 90.9975) (width 0.2) (layer F.Cu) (net 34)) - (segment (start 152.042 95.8215) (end 152.042 96.111952) (width 0.2) (layer F.Cu) (net 35)) - (segment (start 152.042 96.111952) (end 151.677904 96.476048) (width 0.2) (layer F.Cu) (net 35)) - (via (at 151.677904 96.476048) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 35)) - (segment (start 154.305 95.8235) (end 154.305 96.354015) (width 0.2) (layer F.Cu) (net 35)) - (segment (start 154.067495 96.476048) (end 154.125231 96.533784) (width 0.2) (layer B.Cu) (net 35)) - (via (at 154.125231 96.533784) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 35)) - (segment (start 154.305 96.354015) (end 154.125231 96.533784) (width 0.2) (layer F.Cu) (net 35)) - (segment (start 151.677904 96.476048) (end 154.067495 96.476048) (width 0.2) (layer B.Cu) (net 35)) - (segment (start 159.639 82.6135) (end 159.727 82.6135) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 160.25901 82.08149) (end 160.25901 80.97551) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 159.727 82.6135) (end 160.25901 82.08149) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 160.25901 80.97551) (end 159.547 80.2635) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 159.547 80.2635) (end 158.717 80.2635) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 158.717 80.2635) (end 158.217 80.7635) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 158.217 80.7635) (end 156.877 80.7635) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 156.877 80.7635) (end 156.567 81.0735) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 156.567 81.8045) (end 157.376 82.6135) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 156.567 81.0735) (end 156.567 81.8045) (width 0.2) (layer F.Cu) (net 36)) - (segment (start 136.777 81.3435) (end 136.779 81.3455) (width 0.2) (layer F.Cu) (net 37)) - (segment (start 135.486 81.3435) (end 136.777 81.3435) (width 0.2) (layer F.Cu) (net 37)) - (segment (start 140.568 86.1715) (end 140.566 86.1695) (width 0.2) (layer F.Cu) (net 38)) - (segment (start 141.859 86.1715) (end 140.568 86.1715) (width 0.2) (layer F.Cu) (net 38)) - (segment (start 141.857 81.3435) (end 141.859 81.3455) (width 0.2) (layer F.Cu) (net 39)) - (segment (start 140.566 81.3435) (end 141.857 81.3435) (width 0.2) (layer F.Cu) (net 39)) - (via (at 146.037 82.1635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 40)) - (segment (start 146.857 81.3435) (end 146.037 82.1635) (width 0.2) (layer F.Cu) (net 40)) - (segment (start 146.962 81.3435) (end 146.857 81.3435) (width 0.2) (layer F.Cu) (net 40)) - (via (at 148.837723 82.074233) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 40)) - (segment (start 146.037 82.1635) (end 146.336999 81.863501) (width 0.2) (layer B.Cu) (net 40)) - (segment (start 146.336999 81.863501) (end 148.626991 81.863501) (width 0.2) (layer B.Cu) (net 40)) - (segment (start 148.626991 81.863501) (end 148.837723 82.074233) (width 0.2) (layer B.Cu) (net 40)) - (segment (start 149.225 81.3455) (end 149.225 81.686956) (width 0.2) (layer F.Cu) (net 40)) - (segment (start 149.225 81.686956) (end 148.837723 82.074233) (width 0.2) (layer F.Cu) (net 40)) - (segment (start 148.971 86.1715) (end 148.971 86.2995) (width 0.2) (layer F.Cu) (net 41)) - (segment (start 147.58201 86.78951) (end 146.962 86.1695) (width 0.2) (layer F.Cu) (net 41)) - (segment (start 148.48099 86.78951) (end 147.58201 86.78951) (width 0.2) (layer F.Cu) (net 41)) - (segment (start 148.971 86.2995) (end 148.48099 86.78951) (width 0.2) (layer F.Cu) (net 41)) - (via (at 153.487 82.3635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 42)) - (segment (start 153.487 82.1635) (end 154.305 81.3455) (width 0.2) (layer F.Cu) (net 42)) - (segment (start 153.487 82.3635) (end 153.487 82.1635) (width 0.2) (layer F.Cu) (net 42)) - (segment (start 152.042 81.3435) (end 152.042 82.370718) (width 0.2) (layer F.Cu) (net 42)) - (segment (start 153.487 82.3635) (end 153.20699 82.64351) (width 0.2) (layer B.Cu) (net 42)) - (via (at 151.769208 82.64351) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 42)) - (segment (start 152.042 82.370718) (end 151.769208 82.64351) (width 0.2) (layer F.Cu) (net 42)) - (segment (start 153.20699 82.64351) (end 151.769208 82.64351) (width 0.2) (layer B.Cu) (net 42)) - (segment (start 129.646 83.8815) (end 129.644 83.8835) (width 0.2) (layer F.Cu) (net 43)) - (segment (start 130.937 83.8815) (end 129.646 83.8815) (width 0.2) (layer F.Cu) (net 43)) - (segment (start 128.674 83.8835) (end 128.674 83.9705) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 128.675 81.4805) (end 129.644 82.4495) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.644 82.4495) (end 129.644 82.6135) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.644 82.9115) (end 128.692 83.8635) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.644 82.6135) (end 129.644 82.9115) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.486999 85.633501) (end 128.752 86.3685) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.597001 85.633501) (end 129.486999 85.633501) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 128.752 86.3685) (end 128.657 86.3685) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.927 85.303502) (end 129.597001 85.633501) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.927 84.6735) (end 129.927 85.303502) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.73701 84.48351) (end 129.927 84.6735) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.31201 84.48351) (end 129.73701 84.48351) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 128.692 83.8635) (end 129.31201 84.48351) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 129.602238 87.768738) (end 132.743219 87.768738) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 132.743219 87.768738) (end 133.065113 87.446844) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 142.733 88.2505) (end 141.556 87.0735) (width 0.2) (layer B.Cu) (net 44)) - (segment (start 141.556 87.0735) (end 133.438457 87.0735) (width 0.2) (layer B.Cu) (net 44)) - (segment (start 142.733 88.7755) (end 142.733 88.2505) (width 0.2) (layer B.Cu) (net 44)) - (segment (start 128.657 86.8235) (end 129.602238 87.768738) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 128.657 86.3685) (end 128.657 86.8235) (width 0.2) (layer F.Cu) (net 44)) - (segment (start 133.438457 87.0735) (end 133.065113 87.446844) (width 0.2) (layer B.Cu) (net 44)) - (via (at 133.065113 87.446844) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 44)) - (via (at 130.376982 83.1235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 45)) - (segment (start 130.937 82.6155) (end 130.429 83.1235) (width 0.2) (layer F.Cu) (net 45)) - (segment (start 130.429 83.1235) (end 130.376982 83.1235) (width 0.2) (layer F.Cu) (net 45)) - (segment (start 128.627006 82.6135) (end 128.08701 83.153496) (width 0.2) (layer F.Cu) (net 45)) - (via (at 128.08701 83.153496) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 45)) - (segment (start 128.674 82.6135) (end 128.627006 82.6135) (width 0.2) (layer F.Cu) (net 45)) - (segment (start 130.376982 83.1235) (end 128.117006 83.1235) (width 0.2) (layer B.Cu) (net 45)) - (segment (start 128.117006 83.1235) (end 128.08701 83.153496) (width 0.2) (layer B.Cu) (net 45)) - (segment (start 156.195 89.2835) (end 155.377 90.1015) (width 0.2) (layer B.Cu) (net 46)) - (via (at 157.60699 93.2815) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 46)) - (segment (start 155.377 91.856764) (end 156.801736 93.2815) (width 0.2) (layer B.Cu) (net 46)) - (segment (start 158.034011 93.708521) (end 157.60699 93.2815) (width 0.2) (layer F.Cu) (net 46)) - (segment (start 156.591 97.5995) (end 158.034011 96.156489) (width 0.2) (layer F.Cu) (net 46)) - (segment (start 158.034011 96.156489) (end 158.034011 93.708521) (width 0.2) (layer F.Cu) (net 46)) - (segment (start 156.801736 93.2815) (end 157.60699 93.2815) (width 0.2) (layer B.Cu) (net 46)) - (segment (start 155.377 90.1015) (end 155.377 91.856764) (width 0.2) (layer B.Cu) (net 46)) - (via (at 158.457 84.9735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 47)) - (segment (start 158.414 85.0165) (end 158.457 84.9735) (width 0.2) (layer F.Cu) (net 47)) - (segment (start 157.861 85.0165) (end 158.414 85.0165) (width 0.2) (layer F.Cu) (net 47)) - (via (at 158.937 80.9435) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 47)) - (segment (start 158.937 84.4935) (end 158.937 80.9435) (width 0.2) (layer B.Cu) (net 47)) - (segment (start 158.457 84.9735) (end 158.937 84.4935) (width 0.2) (layer B.Cu) (net 47)) - (segment (start 158.898 80.9435) (end 158.361 81.4805) (width 0.2) (layer F.Cu) (net 47)) - (segment (start 158.937 80.9435) (end 158.898 80.9435) (width 0.2) (layer F.Cu) (net 47)) - (segment (start 159.526 81.4805) (end 159.639 81.5935) (width 0.2) (layer F.Cu) (net 47)) - (segment (start 158.361 81.4805) (end 159.526 81.4805) (width 0.2) (layer F.Cu) (net 47)) - (via (at 158.587 91.1735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 47)) - (segment (start 157.861 90.4475) (end 158.587 91.1735) (width 0.2) (layer F.Cu) (net 47)) - (segment (start 157.861 85.0165) (end 157.861 90.4475) (width 0.2) (layer F.Cu) (net 47)) - (segment (start 157.505 91.1735) (end 157.495 91.1835) (width 0.2) (layer B.Cu) (net 47)) - (segment (start 158.587 91.1735) (end 157.505 91.1735) (width 0.2) (layer B.Cu) (net 47)) - (segment (start 135.001 93.3985) (end 135.001 92.6275) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 135.001 92.6275) (end 133.737 91.3635) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 134.601 88.5725) (end 135.001 88.5725) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 133.737 89.4365) (end 134.601 88.5725) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 133.737 91.3635) (end 133.737 89.4365) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 135.001 88.5725) (end 135.001 87.7495) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 135.001 87.7495) (end 135.727 87.0235) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 135.727 87.0235) (end 137.017 87.0235) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 137.017 87.0235) (end 137.527 86.5135) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 137.527 86.5135) (end 137.527 84.7335) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 136.54 83.7465) (end 135.001 83.7465) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 137.527 84.7335) (end 136.54 83.7465) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 140.477 90.6755) (end 140.477 92.0435) (width 0.2) (layer B.Cu) (net 48)) - (segment (start 139.047 93.4735) (end 137.707 93.4735) (width 0.2) (layer B.Cu) (net 48)) - (via (at 137.707 93.4735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 48)) - (segment (start 140.477 92.0435) (end 139.047 93.4735) (width 0.2) (layer B.Cu) (net 48)) - (segment (start 135.076 93.4735) (end 135.001 93.3985) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 137.707 93.4735) (end 135.076 93.4735) (width 0.2) (layer F.Cu) (net 48)) - (segment (start 140.696 94.8035) (end 140.581 94.6885) (width 0.2) (layer F.Cu) (net 49)) - (segment (start 141.859 94.8035) (end 140.696 94.8035) (width 0.2) (layer F.Cu) (net 49)) - (segment (start 139.640999 93.953501) (end 138.467 92.779502) (width 0.2) (layer F.Cu) (net 49)) - (segment (start 139.846001 93.953501) (end 139.640999 93.953501) (width 0.2) (layer F.Cu) (net 49)) - (segment (start 140.581 94.6885) (end 139.846001 93.953501) (width 0.2) (layer F.Cu) (net 49)) - (via (at 139.347 87.7735) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 138.467 88.6535) (end 139.347 87.7735) (width 0.2) (layer F.Cu) (net 49)) - (segment (start 138.467 92.779502) (end 138.467 88.6535) (width 0.2) (layer F.Cu) (net 49)) - (segment (start 139.347 88.6055) (end 139.177 88.7755) (width 0.2) (layer B.Cu) (net 49)) - (segment (start 139.347 87.7735) (end 139.347 88.6055) (width 0.2) (layer B.Cu) (net 49)) - (segment (start 148.062 80.3255) (end 147.947 80.2105) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 149.225 80.3255) (end 148.062 80.3255) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 147.391999 79.655499) (end 144.305001 79.655499) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 147.947 80.2105) (end 147.391999 79.655499) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 143.472 93.3985) (end 140.081 93.3985) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.305001 92.565499) (end 143.472 93.3985) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.305001 92.021501) (end 144.305001 92.565499) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.033 91.95351) (end 143.98301 92.0035) (width 0.2) (layer B.Cu) (net 50)) - (via (at 143.98301 92.0035) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 50)) - (segment (start 144.033 90.6755) (end 144.033 91.95351) (width 0.2) (layer B.Cu) (net 50)) - (segment (start 144.305001 92.021501) (end 144.001011 92.021501) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.001011 92.021501) (end 143.98301 92.0035) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.305001 79.655499) (end 143.523001 78.873499) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 143.523001 78.873499) (end 140.128001 78.873499) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 140.128001 78.873499) (end 140.081 78.9205) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 148.062 94.8035) (end 147.947 94.6885) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 149.225 94.8035) (end 148.062 94.8035) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 146.201886 93.148388) (end 146.201886 92.036583) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.840803 90.6755) (end 146.201886 92.036583) (width 0.2) (layer B.Cu) (net 50)) - (segment (start 147.006999 93.953501) (end 146.201886 93.148388) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 147.212001 93.953501) (end 147.006999 93.953501) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 147.947 94.6885) (end 147.212001 93.953501) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.033 90.6755) (end 144.840803 90.6755) (width 0.2) (layer B.Cu) (net 50)) - (via (at 146.201886 92.036583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 50)) - (segment (start 144.305001 79.655499) (end 144.146998 79.813502) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.146998 79.813502) (end 144.146998 81.075496) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.477001 81.981501) (end 144.305001 82.153501) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.146998 81.075496) (end 144.477001 81.405499) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.477001 81.405499) (end 144.477001 81.981501) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.256999 88.741501) (end 144.305001 88.789503) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.305001 82.153501) (end 144.305001 88.117497) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.305001 88.117497) (end 144.256999 88.165499) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.256999 88.165499) (end 144.256999 88.741501) (width 0.2) (layer F.Cu) (net 50)) - (segment (start 144.305001 88.789503) (end 144.305001 92.021501) (width 0.2) (layer F.Cu) (net 50)) - (via (at 146.997 87.90351) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 51)) - (segment (start 146.543 88.7755) (end 146.997 88.3215) (width 0.2) (layer B.Cu) (net 51)) - (segment (start 146.997 88.3215) (end 146.997 87.90351) (width 0.2) (layer B.Cu) (net 51)) - (segment (start 147.296999 88.203509) (end 146.997 87.90351) (width 0.2) (layer F.Cu) (net 51)) - (segment (start 147.487001 93.358499) (end 147.487001 88.393511) (width 0.2) (layer F.Cu) (net 51)) - (segment (start 147.487001 88.393511) (end 147.296999 88.203509) (width 0.2) (layer F.Cu) (net 51)) - (segment (start 147.447 93.3985) (end 147.487001 93.358499) (width 0.2) (layer F.Cu) (net 51)) - (segment (start 153.142 94.8035) (end 153.027 94.6885) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 154.305 94.8035) (end 153.142 94.8035) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 153.142 89.9775) (end 153.027 89.8625) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 154.305 89.9775) (end 153.142 89.9775) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 154.305 89.9775) (end 155.097 90.7695) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 155.097 94.0115) (end 154.305 94.8035) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 154.19 85.0365) (end 154.305 85.1515) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 153.027 85.0365) (end 154.19 85.0365) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 154.305 85.1515) (end 154.475 85.1515) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 154.92501 89.35749) (end 154.305 89.9775) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 154.92501 85.60151) (end 154.92501 89.35749) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 154.475 85.1515) (end 154.92501 85.60151) (width 0.2) (layer F.Cu) (net 52)) - (via (at 151.537 92.2235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 52)) - (segment (start 149.989 90.6755) (end 151.537 92.2235) (width 0.2) (layer B.Cu) (net 52)) - (segment (start 147.843 90.6755) (end 149.989 90.6755) (width 0.2) (layer B.Cu) (net 52)) - (segment (start 151.677 92.0835) (end 155.097 92.0835) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 155.097 92.0835) (end 155.097 94.0115) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 151.537 92.2235) (end 151.677 92.0835) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 155.097 90.7695) (end 155.097 92.0835) (width 0.2) (layer F.Cu) (net 52)) - (segment (start 135.616 80.3255) (end 135.501 80.2105) (width 0.2) (layer F.Cu) (net 53)) - (segment (start 136.779 80.3255) (end 135.616 80.3255) (width 0.2) (layer F.Cu) (net 53)) - (segment (start 135.651 82.2195) (end 135.511 82.3595) (width 0.2) (layer B.Cu) (net 54)) - (segment (start 135.651 81.0235) (end 135.651 82.2195) (width 0.2) (layer B.Cu) (net 54)) - (segment (start 135.651 81.0235) (end 135.651 80.405396) (width 0.2) (layer B.Cu) (net 54)) - (segment (start 135.001 78.9205) (end 136.727458 78.9205) (width 0.2) (layer F.Cu) (net 54)) - (via (at 136.931677 79.124719) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 54)) - (segment (start 136.727458 78.9205) (end 136.931677 79.124719) (width 0.2) (layer F.Cu) (net 54)) - (segment (start 135.651 80.405396) (end 136.931677 79.124719) (width 0.2) (layer B.Cu) (net 54)) - (via (at 133.327 79.1535) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 55)) - (segment (start 133.357 79.1235) (end 133.327 79.1535) (width 0.2) (layer B.Cu) (net 55)) - (segment (start 134.351 79.1235) (end 133.357 79.1235) (width 0.2) (layer B.Cu) (net 55)) - (segment (start 141.744 85.0365) (end 141.859 85.1515) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 140.581 85.0365) (end 141.744 85.0365) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 139.714999 84.481499) (end 140.025999 84.481499) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 140.025999 84.481499) (end 140.581 85.0365) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 133.327 79.1535) (end 133.737 79.5635) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 137.717 80.328302) (end 137.717 82.4135) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 133.737 79.5635) (end 135.947 79.5635) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 135.947 79.5635) (end 136.13899 79.75549) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 136.13899 79.75549) (end 137.144188 79.75549) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 137.144188 79.75549) (end 137.717 80.328302) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 137.717 82.4135) (end 137.682 82.4485) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 137.682 82.4485) (end 139.714999 84.481499) (width 0.2) (layer F.Cu) (net 55)) - (segment (start 140.591 81.1375) (end 140.477 81.0235) (width 0.2) (layer B.Cu) (net 56)) - (segment (start 140.591 83.1215) (end 140.591 81.1375) (width 0.2) (layer B.Cu) (net 56)) - (segment (start 140.865 83.1215) (end 141.447 83.7035) (width 0.2) (layer B.Cu) (net 56)) - (via (at 141.447 83.7035) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 56)) - (segment (start 140.591 83.1215) (end 140.865 83.1215) (width 0.2) (layer B.Cu) (net 56)) - (segment (start 140.124 83.7035) (end 140.081 83.7465) (width 0.2) (layer F.Cu) (net 56)) - (segment (start 141.447 83.7035) (end 140.124 83.7035) (width 0.2) (layer F.Cu) (net 56)) - (segment (start 140.696 80.3255) (end 140.581 80.2105) (width 0.2) (layer F.Cu) (net 57)) - (segment (start 141.859 80.3255) (end 140.696 80.3255) (width 0.2) (layer F.Cu) (net 57)) - (segment (start 140.581 80.2105) (end 139.894001 79.523501) (width 0.2) (layer F.Cu) (net 57)) - (segment (start 138.876999 79.523501) (end 138.577 79.8235) (width 0.2) (layer F.Cu) (net 57)) - (segment (start 139.894001 79.523501) (end 138.876999 79.523501) (width 0.2) (layer F.Cu) (net 57)) - (segment (start 138.577 79.7235) (end 138.577 79.8235) (width 0.2) (layer B.Cu) (net 57)) - (segment (start 139.177 79.1235) (end 138.577 79.7235) (width 0.2) (layer B.Cu) (net 57)) - (via (at 138.577 79.8235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 57)) - (via (at 148.559389 79.644433) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 58)) - (segment (start 147.589 80.614822) (end 148.559389 79.644433) (width 0.2) (layer B.Cu) (net 58)) - (segment (start 147.589 81.0235) (end 147.589 80.614822) (width 0.2) (layer B.Cu) (net 58)) - (segment (start 147.447 78.9205) (end 147.835456 78.9205) (width 0.2) (layer F.Cu) (net 58)) - (segment (start 147.835456 78.9205) (end 148.559389 79.644433) (width 0.2) (layer F.Cu) (net 58)) - (segment (start 146.517 83.0335) (end 146.937 82.6135) (width 0.2) (layer B.Cu) (net 59)) - (via (at 145.777 83.4935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 59)) - (segment (start 145.747 83.4635) (end 145.777 83.4935) (width 0.2) (layer B.Cu) (net 59)) - (segment (start 145.747 83.0335) (end 145.747 83.4635) (width 0.2) (layer B.Cu) (net 59)) - (segment (start 145.747 83.0335) (end 146.517 83.0335) (width 0.2) (layer B.Cu) (net 59)) - (segment (start 146.764999 84.481499) (end 147.391999 84.481499) (width 0.2) (layer F.Cu) (net 59)) - (segment (start 147.391999 84.481499) (end 147.947 85.0365) (width 0.2) (layer F.Cu) (net 59)) - (segment (start 145.777 83.4935) (end 146.764999 84.481499) (width 0.2) (layer F.Cu) (net 59)) - (segment (start 148.856 85.0365) (end 148.971 85.1515) (width 0.2) (layer F.Cu) (net 59)) - (segment (start 147.947 85.0365) (end 148.856 85.0365) (width 0.2) (layer F.Cu) (net 59)) - (segment (start 145.035001 81.213501) (end 145.035001 82.321501) (width 0.2) (layer B.Cu) (net 59)) - (segment (start 146.289 79.959502) (end 145.035001 81.213501) (width 0.2) (layer B.Cu) (net 59)) - (segment (start 145.035001 82.321501) (end 145.747 83.0335) (width 0.2) (layer B.Cu) (net 59)) - (segment (start 146.289 79.1235) (end 146.289 79.959502) (width 0.2) (layer B.Cu) (net 59)) - (via (at 150.717 83.0635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 60)) - (segment (start 150.034 83.7465) (end 150.717 83.0635) (width 0.2) (layer F.Cu) (net 60)) - (segment (start 147.447 83.7465) (end 150.034 83.7465) (width 0.2) (layer F.Cu) (net 60)) - (segment (start 150.717 83.0635) (end 150.717 82.1335) (width 0.2) (layer B.Cu) (net 60)) - (segment (start 150.717 82.1335) (end 150.807 82.0435) (width 0.2) (layer B.Cu) (net 60)) - (segment (start 152.415 81.8025) (end 152.415 81.2775) (width 0.2) (layer B.Cu) (net 60)) - (segment (start 152.174 82.0435) (end 152.415 81.8025) (width 0.2) (layer B.Cu) (net 60)) - (segment (start 150.807 82.0435) (end 152.174 82.0435) (width 0.2) (layer B.Cu) (net 60)) - (via (at 155.207 80.2635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 61)) - (segment (start 155.141 80.3295) (end 155.207 80.2635) (width 0.2) (layer B.Cu) (net 61)) - (segment (start 154.305 80.3295) (end 155.141 80.3295) (width 0.2) (layer B.Cu) (net 61)) - (segment (start 153.08 80.2635) (end 153.027 80.2105) (width 0.2) (layer F.Cu) (net 61)) - (segment (start 155.207 80.2635) (end 153.08 80.2635) (width 0.2) (layer F.Cu) (net 61)) - (segment (start 153.027 80.2105) (end 152.964 80.2105) (width 0.2) (layer F.Cu) (net 61)) - (via (at 150.427 79.4435) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 61)) - (segment (start 150.459001 79.475501) (end 150.427 79.4435) (width 0.2) (layer F.Cu) (net 61)) - (segment (start 152.229001 79.475501) (end 150.459001 79.475501) (width 0.2) (layer F.Cu) (net 61)) - (segment (start 152.964 80.2105) (end 152.229001 79.475501) (width 0.2) (layer F.Cu) (net 61)) - (segment (start 151.049 79.4435) (end 151.115 79.3775) (width 0.2) (layer B.Cu) (net 61)) - (segment (start 150.427 79.4435) (end 151.049 79.4435) (width 0.2) (layer B.Cu) (net 61)) - (segment (start 129.79 81.5955) (end 129.675 81.4805) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 130.937 81.5955) (end 129.79 81.5955) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 128.759 85.0165) (end 129.159 85.0165) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 129.078 80.8835) (end 128.057 80.8835) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 129.675 81.4805) (end 129.078 80.8835) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 128.057 80.8835) (end 127.487 81.4535) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 127.487 81.4535) (end 127.487 83.7445) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 127.487 83.7445) (end 128.2715 84.529) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 128.2715 84.529) (end 128.759 85.0165) (width 0.2) (layer F.Cu) (net 62)) - (via (at 130.519271 93.397807) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 62)) - (segment (start 129.157 85.0785) (end 128.757 85.0785) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 130.063 92.941536) (end 130.519271 93.397807) (width 0.2) (layer B.Cu) (net 62)) - (segment (start 128.757 85.0785) (end 128.156999 85.678501) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 128.156999 85.678501) (end 128.156999 91.035535) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 128.156999 91.035535) (end 130.519271 93.397807) (width 0.2) (layer F.Cu) (net 62)) - (segment (start 130.063 91.5275) (end 130.063 92.941536) (width 0.2) (layer B.Cu) (net 62)) + (segment (start 152.527 93.3985) (end 152.527 93.3335) (width 0.2) (layer "F.Cu") (net 1) (tstamp 0560cab6-37ed-4ffa-961a-df993248e724)) + (segment (start 152.527 98.2245) (end 153.084216 98.2245) (width 0.2) (layer "F.Cu") (net 1) (tstamp 41121b45-dcd6-4116-b9fd-af1e4b7defe4)) + (segment (start 152.527 83.7465) (end 153.314 83.7465) (width 0.2) (layer "F.Cu") (net 1) (tstamp 50fb56e8-c18f-47ed-9d3a-a242f907eab6)) + (segment (start 152.599 107.8765) (end 151.37 107.8765) (width 0.2) (layer "F.Cu") (net 1) (tstamp 52265d19-9051-46c7-97cf-7a13e13343e9)) + (segment (start 152.527 88.5725) (end 152.527 87.6335) (width 0.2) (layer "F.Cu") (net 1) (tstamp 5a1754f8-40ed-4060-96f2-cae3e56551da)) + (segment (start 129.175 79.4155) (end 129.227 79.3635) (width 0.2) (layer "F.Cu") (net 1) (tstamp 5a99a370-edb1-4c50-a132-7505049bcdc4)) + (segment (start 157.861 80.1905) (end 157.861 79.3475) (width 0.2) (layer "F.Cu") (net 1) (tstamp 5bf119a5-f99c-4aa1-8a9b-9ee240640478)) + (segment (start 153.314 83.7465) (end 153.327 83.7335) (width 0.2) (layer "F.Cu") (net 1) (tstamp 6517d9c8-c90e-4030-bbe0-a38ad44ff812)) + (segment (start 153.08399 78.9205) (end 153.227 78.77749) (width 0.2) (layer "F.Cu") (net 1) (tstamp 804c6957-2f64-4f7e-81f1-7911401b2b70)) + (segment (start 129.175 80.1905) (end 129.175 79.4155) (width 0.2) (layer "F.Cu") (net 1) (tstamp ae509d39-b2a9-449d-a397-a3039d68db45)) + (segment (start 151.37 107.8765) (end 151.197 107.7035) (width 0.2) (layer "F.Cu") (net 1) (tstamp bdc1c603-0187-44a5-bae7-f57c5b3a39b3)) + (segment (start 152.527 93.3335) (end 153.127 92.7335) (width 0.2) (layer "F.Cu") (net 1) (tstamp c55a516d-7304-4f23-943f-315c34c9c099)) + (segment (start 157.861 79.3475) (end 157.847 79.3335) (width 0.2) (layer "F.Cu") (net 1) (tstamp d042964d-c458-4d18-b3a5-d533a2ebd816)) + (segment (start 152.527 87.6335) (end 152.557 87.6035) (width 0.2) (layer "F.Cu") (net 1) (tstamp d2727cd5-a5d2-46ed-ad5a-e66aea8a081d)) + (segment (start 153.084216 98.2245) (end 153.177425 98.131291) (width 0.2) (layer "F.Cu") (net 1) (tstamp d5e8d51f-1e0f-4dcb-be3e-9eda8e068099)) + (segment (start 152.527 103.0505) (end 152.113439 102.636939) (width 0.2) (layer "F.Cu") (net 1) (tstamp daf1cdcc-1c88-4b0d-9612-82b0934b8f3f)) + (segment (start 152.113439 102.636939) (end 151.996959 102.636939) (width 0.2) (layer "F.Cu") (net 1) (tstamp dc81f282-fd2d-49e6-87e8-6c8c466f0e55)) + (segment (start 152.527 78.9205) (end 153.08399 78.9205) (width 0.2) (layer "F.Cu") (net 1) (tstamp e94e8f51-bdca-4427-b616-c6d9098b1365)) + (via (at 145.655405 81.44164) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 05ed8eae-6853-415f-94c4-c828f17487f3)) + (via (at 153.227 78.77749) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 108c2918-6f2a-4460-96f2-c55f7d76c117)) + (via (at 153.177425 98.131291) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 15699345-7f1d-4e8c-acf9-7a9e179f8984)) + (via (at 152.557 87.6035) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 239f6a62-804b-44c8-93ff-17c728e5c311)) + (via (at 150.477 81.3635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 41b7b454-8f36-4e67-bf3a-d69a2ed2c1b9)) + (via (at 151.197 107.7035) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4b8de4f7-c462-4ce8-a051-c620f9570dc5)) + (via (at 157.847 79.3335) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4d4c20b3-0343-473f-8df3-24c0ece556e1)) + (via (at 142.677 91.7235) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 51003725-d194-4164-b0c7-bd67d55a1dbd)) + (via (at 144.587 94.3035) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 53ddfcf0-ba45-4142-956c-3433cbe32f68)) + (via (at 139.437 107.9335) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6522c595-e5c7-4e75-bcd3-99cbe61c8b9d)) + (via (at 139.137 82.3435) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 74002f42-cd96-454b-ac4f-87d80605cc08)) + (via (at 156.298694 91.869171) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 808a8633-8aad-4eb1-b366-ffb846538b43)) + (via (at 149.697 92.1835) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 895d6635-1e67-4159-889b-8ed29d546964)) + (via (at 146.887 91.6235) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9af97213-aaf0-4d07-8536-c606b25fe243)) + (via (at 151.996959 102.636939) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a1833c70-67c2-4fad-98ce-8a9e1b1be1f8)) + (via (at 139.127 91.5035) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c7c909e6-db1c-4660-908b-bb65265dde22)) + (via (at 129.227 79.3635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp cd9e7efc-d202-415d-aedb-1729157727ca)) + (via (at 153.127 92.7335) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ce7de900-0c62-4602-9a68-70b0bb3c7dc1)) + (via (at 133.647 82.3535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ec603c5d-2ae5-466c-88d1-3351e2831029)) + (via (at 153.327 83.7335) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f1a56cbd-b820-412c-a801-9e714b0bf113)) + (segment (start 151.115 81.2775) (end 150.563 81.2775) (width 0.2) (layer "B.Cu") (net 1) (tstamp 0567fded-a5aa-4fab-b00b-082217f09697)) + (segment (start 142.733 91.6675) (end 142.677 91.7235) (width 0.2) (layer "B.Cu") (net 1) (tstamp 09baa310-0e94-4e53-8902-d03e2833233c)) + (segment (start 139.177 91.4535) (end 139.127 91.5035) (width 0.2) (layer "B.Cu") (net 1) (tstamp 1a66262f-09d4-4ded-98d9-45e33e93913c)) + (segment (start 134.254302 109.728) (end 135.128 109.728) (width 0.2) (layer "B.Cu") (net 1) (tstamp 202db4e3-843f-4fbf-be5a-b81b405c121a)) + (segment (start 137.8755 108.966) (end 138.303 108.5385) (width 0.2) (layer "B.Cu") (net 1) (tstamp 22bea8cf-d388-4556-8de9-3ddd905d5519)) + (segment (start 138.303 108.0135) (end 139.357 108.0135) (width 0.2) (layer "B.Cu") (net 1) (tstamp 23f2c91b-d542-411e-8490-4f1acf578deb)) + (segment (start 135.128 109.728) (end 135.89 108.966) (width 0.2) (layer "B.Cu") (net 1) (tstamp 29610e8d-d24e-4dd7-8dfa-2a7283c06165)) + (segment (start 138.303 108.5385) (end 138.303 108.0135) (width 0.2) (layer "B.Cu") (net 1) (tstamp 4ee23537-b247-4f84-830b-bcb3f9a59845)) + (segment (start 139.137 82.3435) (end 139.177 81.0235) (width 0.2) (layer "B.Cu") (net 1) (tstamp 5a7f1d4d-71cf-4d10-89f9-a06529724901)) + (segment (start 142.733 90.6755) (end 142.733 91.6675) (width 0.2) (layer "B.Cu") (net 1) (tstamp 68c3d830-097a-45b2-9b30-daef0ac3b54b)) + (segment (start 139.357 108.0135) (end 139.437 107.9335) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6eb6faf5-e18e-481c-a41d-2fa7055b521c)) + (segment (start 134.491 82.3595) (end 133.653 82.3595) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6fd2b260-8196-4da3-8a3e-99b57c896995)) + (segment (start 146.543 91.2795) (end 146.887 91.6235) (width 0.2) (layer "B.Cu") (net 1) (tstamp 734579d2-f940-4fd5-bf68-08a93b7590cb)) + (segment (start 139.177 82.3035) (end 139.137 82.3435) (width 0.2) (layer "B.Cu") (net 1) (tstamp 7a3959b9-fd71-4220-993e-acb48ed7d56a)) + (segment (start 134.351 82.2195) (end 134.491 82.3595) (width 0.2) (layer "B.Cu") (net 1) (tstamp 7dc09b78-88a7-4077-a80a-deaf039dae24)) + (segment (start 146.073545 81.0235) (end 145.655405 81.44164) (width 0.2) (layer "B.Cu") (net 1) (tstamp 8235f53a-6666-4528-a1e8-9b776a870a2c)) + (segment (start 134.493 108.0115) (end 134.4315 108.0115) (width 0.2) (layer "B.Cu") (net 1) (tstamp 96e5e54b-2c01-41ff-8fef-306ecc5e1dce)) + (segment (start 146.289 81.0235) (end 146.073545 81.0235) (width 0.2) (layer "B.Cu") (net 1) (tstamp 97b90733-01e2-4403-a691-b791dfa999ce)) + (segment (start 139.177 82.7275) (end 139.177 82.3035) (width 0.2) (layer "B.Cu") (net 1) (tstamp 9b7e6fee-815d-43e6-b306-cbbbd3d37983)) + (segment (start 156.195 91.1835) (end 156.195 91.765477) (width 0.2) (layer "B.Cu") (net 1) (tstamp a75d0546-7888-48e6-8ced-53390771fe69)) + (segment (start 156.195 91.765477) (end 156.298694 91.869171) (width 0.2) (layer "B.Cu") (net 1) (tstamp acc7eb83-b4a4-49e9-aa6c-157ffe7e8488)) + (segment (start 135.89 108.966) (end 137.8755 108.966) (width 0.2) (layer "B.Cu") (net 1) (tstamp bc146db4-3257-4cdf-a0f5-d26e0e54af06)) + (segment (start 134.4315 108.0115) (end 133.858 108.585) (width 0.2) (layer "B.Cu") (net 1) (tstamp c33e580a-a834-40b6-8ade-f0718aa2f132)) + (segment (start 133.653 82.3595) (end 133.647 82.3535) (width 0.2) (layer "B.Cu") (net 1) (tstamp ccb01cef-75cd-453a-99d7-0043f117d855)) + (segment (start 139.571 83.1215) (end 139.177 82.7275) (width 0.2) (layer "B.Cu") (net 1) (tstamp d08b07ad-677b-4a62-9524-dfaa67464e7b)) + (segment (start 133.858 108.585) (end 133.858 109.331698) (width 0.2) (layer "B.Cu") (net 1) (tstamp dbe764ea-0c4d-47dd-8cf8-7d380abc9a4f)) + (segment (start 139.177 90.6755) (end 139.177 91.4535) (width 0.2) (layer "B.Cu") (net 1) (tstamp ea1d762e-9f80-4c5d-9bfb-2e2afe3473ff)) + (segment (start 150.563 81.2775) (end 150.477 81.3635) (width 0.2) (layer "B.Cu") (net 1) (tstamp ef0f1597-f46b-479b-a529-1364466e4aaf)) + (segment (start 133.858 109.331698) (end 134.254302 109.728) (width 0.2) (layer "B.Cu") (net 1) (tstamp f2455223-1a65-4cf8-ac3e-d3e5fe8cf9c2)) + (segment (start 146.543 90.6755) (end 146.543 91.2795) (width 0.2) (layer "B.Cu") (net 1) (tstamp f4563131-361c-4351-a73b-d0ae94cf7494)) + (segment (start 134.351 81.0235) (end 134.351 82.2195) (width 0.2) (layer "B.Cu") (net 1) (tstamp fac3f1eb-17a5-48cb-bfc4-7398bb91045f)) + (segment (start 129.659 86.1795) (end 130.236 85.6025) (width 0.2) (layer "F.Cu") (net 2) (tstamp 00e4def6-7ad1-48bc-b494-346c0d5464b2)) + (segment (start 136.664 85.0365) (end 136.779 85.1515) (width 0.2) (layer "F.Cu") (net 2) (tstamp 06ac00de-6fcb-4d2f-901c-4e11d181daf4)) + (segment (start 158.361 88.8075) (end 158.737 89.1835) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0ca054be-a691-49ed-837e-d84108c2d4e0)) + (segment (start 136.407 109.6535) (end 136.779 109.2815) (width 0.2) (layer "F.Cu") (net 2) (tstamp 271d37e4-d7f6-4b52-8b87-6dc0c91f8cbc)) + (segment (start 136.167 109.6535) (end 135.988 109.6535) (width 0.2) (layer "F.Cu") (net 2) (tstamp 28c55fb2-8f80-42aa-9603-60e972c43648)) + (segment (start 136.157 99.9135) (end 136.198 99.8725) (width 0.2) (layer "F.Cu") (net 2) (tstamp 39e32785-b829-4b17-b91f-af2ad4569435)) + (segment (start 136.204 85.0365) (end 136.664 85.0365) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3dccc11a-c076-4436-8f48-041b12b5266c)) + (segment (start 158.361 86.3065) (end 158.361 86.1815) (width 0.2) (layer "F.Cu") (net 2) (tstamp 43462ff6-44de-46f5-8dd9-f7cd0d34a5a4)) + (segment (start 135.501 85.0365) (end 136.204 85.0365) (width 0.2) (layer "F.Cu") (net 2) (tstamp 59c8d49a-6b7d-4552-9f4e-96bd78c66048)) + (segment (start 158.361 86.1815) (end 159.639 84.9035) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5a64dacd-6b4b-487d-996a-4a5e942ead63)) + (segment (start 135.501 94.6885) (end 136.11407 94.6885) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6f200e63-bd6d-45ad-8cc5-8cddc779690c)) + (segment (start 136.664 99.5145) (end 136.779 99.6295) (width 0.2) (layer "F.Cu") (net 2) (tstamp 737a63f6-1125-47ae-9305-46bea918a55c)) + (segment (start 135.501 89.8625) (end 136.208 89.8625) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7b2c3bad-594c-49d9-a426-cff10b4696d2)) + (segment (start 136.208 89.8625) (end 136.208 89.4445) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7b7c80af-f77a-4714-82f2-b87ebc99b3f9)) + (segment (start 136.664 89.8625) (end 136.779 89.9775) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7bd98ffe-c095-4193-a08e-e9e7ae2f678a)) + (segment (start 136.204 84.6405) (end 136.117 84.5535) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7cc46cdd-9b6f-43e5-b3a0-dabf65ac31e8)) + (segment (start 136.208 89.4445) (end 136.137 89.3735) (width 0.2) (layer "F.Cu") (net 2) (tstamp 87eb8928-566f-473a-a9af-3b7a1fefc6b0)) + (segment (start 136.779 104.4555) (end 136.659 104.4555) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8911c2f6-12c2-4646-a534-5a4a58a6bd27)) + (segment (start 135.988 109.6535) (end 135.501 109.1665) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8d96b49a-f816-49cc-a975-160ceec18fe7)) + (segment (start 129.659 86.3065) (end 129.659 86.1795) (width 0.2) (layer "F.Cu") (net 2) (tstamp a2f01e05-fa01-41f4-9bf1-0b58df080858)) + (segment (start 136.208 89.8625) (end 136.664 89.8625) (width 0.2) (layer "F.Cu") (net 2) (tstamp a50ef7e1-ddc5-4816-99f6-788fe8bc4f01)) + (segment (start 136.198 99.8725) (end 136.198 99.5145) (width 0.2) (layer "F.Cu") (net 2) (tstamp abd6c4c7-9b80-402c-8eea-f964230cb9f9)) + (segment (start 136.198 99.5145) (end 136.664 99.5145) (width 0.2) (layer "F.Cu") (net 2) (tstamp b1aee1eb-5cdf-45d6-adfa-477577cb5c75)) + (segment (start 136.167 109.6535) (end 136.407 109.6535) (width 0.2) (layer "F.Cu") (net 2) (tstamp badad30d-5c22-4aca-b83e-639808fbfcd8)) + (segment (start 135.501 99.5145) (end 136.198 99.5145) (width 0.2) (layer "F.Cu") (net 2) (tstamp bbb94bda-7c3a-45eb-9df7-0719933bd5d4)) + (segment (start 136.664 104.3405) (end 136.779 104.4555) (width 0.2) (layer "F.Cu") (net 2) (tstamp bbf89e53-f878-4ce1-9827-4edc664a5e4d)) + (segment (start 135.501 104.3405) (end 136.664 104.3405) (width 0.2) (layer "F.Cu") (net 2) (tstamp bc031377-c092-4517-98e2-b56befb0389c)) + (segment (start 136.779 94.8035) (end 136.779 94.352048) (width 0.2) (layer "F.Cu") (net 2) (tstamp c1a7fc40-c6e1-4f55-bd3e-7872a057ae0f)) + (segment (start 158.361 86.3065) (end 158.361 88.8075) (width 0.2) (layer "F.Cu") (net 2) (tstamp c6dc26bd-f923-41ee-a18c-ce622cf035c1)) + (segment (start 130.236 85.6025) (end 130.937 84.9015) (width 0.2) (layer "F.Cu") (net 2) (tstamp c8d63ac0-de79-4423-bad1-8c9268a2475f)) + (segment (start 136.779 94.352048) (end 136.614761 94.187809) (width 0.2) (layer "F.Cu") (net 2) (tstamp d8d4a7f0-d670-4779-9c44-c89fba1b067e)) + (segment (start 130.246 85.6025) (end 130.577 85.9335) (width 0.2) (layer "F.Cu") (net 2) (tstamp d96871c1-eecf-4f66-9188-93fff2c40ff7)) + (segment (start 130.236 85.6025) (end 130.246 85.6025) (width 0.2) (layer "F.Cu") (net 2) (tstamp e0ebc33b-207c-4a4b-b2c9-06e6a05e30c4)) + (segment (start 136.659 104.4555) (end 136.157 103.9535) (width 0.2) (layer "F.Cu") (net 2) (tstamp ee82d08f-a0be-4d8e-8c88-daa3f48e8b61)) + (segment (start 136.204 85.0365) (end 136.204 84.6405) (width 0.2) (layer "F.Cu") (net 2) (tstamp f2bd242a-7692-41fc-99bb-1aedb11b1cd1)) + (segment (start 136.11407 94.6885) (end 136.614761 94.187809) (width 0.2) (layer "F.Cu") (net 2) (tstamp f3abf128-a524-4e14-8207-dec03063d8cd)) + (via (at 148.837 82.9235) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0a02e95c-5d26-421d-95e6-38c22a1b3f0e)) + (via (at 136.157 103.9535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2cc0c974-7043-4bd5-ad39-7d532768542e)) + (via (at 136.137 89.3735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3618956b-83e0-4e84-8494-9b139cf79ca0)) + (via (at 141.867 79.4735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 363ea74e-8152-4f4e-9952-e0a4d9b58228)) + (via (at 130.577 85.9335) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5742b34d-1032-4f5b-ab60-0cc4e027d288)) + (via (at 154.607233 101.42349) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 71bb5390-1fda-4d52-b3af-535c6e5e9f77)) + (via (at 148.968771 101.435148) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 77c7fb59-37ac-42ad-8a75-50ab55f20e7d)) + (via (at 137.702689 79.338166) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7d4d76c1-5fb2-4530-8db7-b06dcbb8ad59)) + (via (at 136.117 84.5535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7ee87823-e3ee-4821-97f5-25241049b071)) + (via (at 131.191 89.0905) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 914cd078-1e35-454b-936a-9392ee6b2b8b)) + (via (at 148.797 88.6535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 96d2710f-e212-445a-965f-9d8cc82c88bf)) + (via (at 158.737 89.1835) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 98c99800-0758-4f5c-a3f5-8408e445a78b)) + (via (at 140.557 87.7635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b53d77b4-baa0-4c61-9f9a-bfd08248365c)) + (via (at 136.614761 94.187809) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b9aa6dc8-63b4-4ae3-9c05-f4bd2fd0bf95)) + (via (at 155.287 79.2935) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c9f3baae-36c4-41a8-b78d-277b1c6a35ae)) + (via (at 136.167 109.6535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d70afa2e-e06d-4d6e-8bb5-870e5754c926)) + (via (at 144.857 88.4535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d7103d52-5638-4e94-9334-778ea650c357)) + (via (at 141.847994 98.053037) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp df5b9438-446c-40bd-a595-6660b6137362)) + (via (at 153.287 102.6035) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f75a87a1-6408-4481-a8f7-1bc2c9327870)) + (via (at 136.157 99.9135) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f8f5d964-34e7-4254-bbbd-5d58116239d3)) + (segment (start 157.495 89.2835) (end 158.637 89.2835) (width 0.2) (layer "B.Cu") (net 2) (tstamp 0cd22470-ae0b-401a-afac-58a5a982f086)) + (segment (start 130.556 89.7255) (end 131.191 89.0905) (width 0.2) (layer "B.Cu") (net 2) (tstamp 0e745609-9d9e-4ce1-a51f-4dc7bbe6b8a4)) + (segment (start 137.702689 78.913902) (end 137.702689 79.338166) (width 0.2) (layer "B.Cu") (net 2) (tstamp 17ad09cb-165b-4362-841e-f807f0b1b5f3)) + (segment (start 136.571 100.3275) (end 136.157 99.9135) (width 0.2) (layer "B.Cu") (net 2) (tstamp 1c9d53e7-bd21-4ea5-8000-dcb73d56db9d)) + (segment (start 136.891 100.3275) (end 136.571 100.3275) (width 0.2) (layer "B.Cu") (net 2) (tstamp 2bf09943-3d95-4a0c-a2da-b0089478993b)) + (segment (start 130.063 89.7255) (end 130.556 89.7255) (width 0.2) (layer "B.Cu") (net 2) (tstamp 2d0b0e43-64e9-40b1-9ba5-9a3f6e1d1676)) + (segment (start 148.087 79.0035) (end 148.806458 79.0035) (width 0.2) (layer "B.Cu") (net 2) (tstamp 33fdbb39-1960-466b-a7d6-cc5a7e0a305f)) + (segment (start 153.869 103.1855) (end 153.287 102.6035) (width 0.2) (layer "B.Cu") (net 2) (tstamp 360adadf-a9eb-4699-b78a-c7937729cc94)) + (segment (start 136.249786 78.524714) (end 137.313501 78.524714) (width 0.2) (layer "B.Cu") (net 2) (tstamp 37990342-6ee6-4403-8b11-5a95679bf026)) + (segment (start 147.843 88.7755) (end 148.675 88.7755) (width 0.2) (layer "B.Cu") (net 2) (tstamp 39ffbee7-611b-4e08-a2f7-326140b8ef3b)) + (segment (start 149.225 100.3275) (end 149.225 101.5875) (width 0.2) (layer "B.Cu") (net 2) (tstamp 3a3940f1-2468-4892-a638-543141f34f9a)) + (segment (start 149.15939 79.55589) (end 149.487 79.8835) (width 0.2) (layer "B.Cu") (net 2) (tstamp 3f44113b-8f65-4a3d-a511-f1789c369103)) + (segment (start 149.15939 79.356432) (end 149.15939 79.55589) (width 0.2) (layer "B.Cu") (net 2) (tstamp 4db97eea-5962-4b21-8235-fa8f58ed63ed)) + (segment (start 144.535 88.7755) (end 144.857 88.4535) (width 0.2) (layer "B.Cu") (net 2) (tstamp 5246ea8f-06d2-4ad8-aba4-13a650a7210e)) + (segment (start 135.651 79.1235) (end 136.249786 78.524714) (width 0.2) (layer "B.Cu") (net 2) (tstamp 56f67263-f2dd-4588-9c1e-6ba3883f27e0)) + (segment (start 149.487 82.2735) (end 148.837 82.9235) (width 0.2) (layer "B.Cu") (net 2) (tstamp 5a538848-f47d-4f39-94a8-db30fd84e8dd)) + (segment (start 147.957 82.6135) (end 148.527 82.6135) (width 0.2) (layer "B.Cu") (net 2) (tstamp 5c287371-46b5-44fd-ada6-a984c61463d3)) + (segment (start 147.589 79.1235) (end 147.967 79.1235) (width 0.2) (layer "B.Cu") (net 2) (tstamp 5ca119bd-c074-4fcc-bebc-c1e4d64da9db)) + (segment (start 136.427 109.9135) (end 136.167 109.6535) (width 0.2) (layer "B.Cu") (net 2) (tstamp 5e0cea92-dfdd-41c1-adc1-fc403dba86e1)) + (segment (start 140.477 79.1235) (end 141.517 79.1235) (width 0.2) (layer "B.Cu") (net 2) (tstamp 6f7edab0-831f-47fa-a289-d16142d9f886)) + (segment (start 148.527 82.6135) (end 148.837 82.9235) (width 0.2) (layer "B.Cu") (net 2) (tstamp 75c6f06b-9334-42da-8b28-869211f61009)) + (segment (start 154.607233 101.205733) (end 154.607233 101.42349) (width 0.2) (layer "B.Cu") (net 2) (tstamp 76902986-195c-4461-8dc2-87689415f5bc)) + (segment (start 140.477 87.8435) (end 140.557 87.7635) (width 0.2) (layer "B.Cu") (net 2) (tstamp 7752d8fd-61ce-4af6-90b0-a506ce7d6e44)) + (segment (start 142.987 98.5495) (end 142.344457 98.5495) (width 0.2) (layer "B.Cu") (net 2) (tstamp 7858c764-54f6-4e20-8204-bad6f4339a55)) + (segment (start 152.415 79.3775) (end 154.237 79.3775) (width 0.2) (layer "B.Cu") (net 2) (tstamp 78ffb3d1-ff28-4348-a2dc-b2b678b71975)) + (segment (start 140.477 88.7755) (end 140.477 87.8435) (width 0.2) (layer "B.Cu") (net 2) (tstamp 881c7b70-422d-4283-8429-cee302c79f82)) + (segment (start 148.675 88.7755) (end 148.797 88.6535) (width 0.2) (layer "B.Cu") (net 2) (tstamp 8926395a-4ad4-4234-81f8-2a397e866492)) + (segment (start 142.344457 98.5495) (end 141.847994 98.053037) (width 0.2) (layer "B.Cu") (net 2) (tstamp 89e3c829-e810-4d40-884e-9f1e5e0edd7c)) + (segment (start 144.033 88.7755) (end 144.535 88.7755) (width 0.2) (layer "B.Cu") (net 2) (tstamp 95a9297c-8cc3-430d-bc90-457a9d24c0d8)) + (segment (start 149.225 101.5875) (end 150.637 102.9995) (width 0.2) (layer "B.Cu") (net 2) (tstamp 965258d0-9adc-40d2-89ac-cb2e81f27a57)) + (segment (start 148.806458 79.0035) (end 149.15939 79.356432) (width 0.2) (layer "B.Cu") (net 2) (tstamp 9a5d7b38-e22b-4037-854c-f04b5adf2bb6)) + (segment (start 155.271 79.3095) (end 155.287 79.2935) (width 0.2) (layer "B.Cu") (net 2) (tstamp a4ce65ae-4e8e-4040-a452-a9f152cf8a43)) + (segment (start 149.225 101.5875) (end 149.121123 101.5875) (width 0.2) (layer "B.Cu") (net 2) (tstamp ab45fd1c-fea0-406a-b6ed-c74a6f2a0b03)) + (segment (start 154.237 79.3775) (end 154.305 79.3095) (width 0.2) (layer "B.Cu") (net 2) (tstamp b9964a8d-8197-475d-a4fe-18acfae5f97f)) + (segment (start 147.967 79.1235) (end 148.087 79.0035) (width 0.2) (layer "B.Cu") (net 2) (tstamp c1c29fa2-5fdb-47ec-a080-4d3e082f79df)) + (segment (start 149.121123 101.5875) (end 148.968771 101.435148) (width 0.2) (layer "B.Cu") (net 2) (tstamp c6ec6933-4959-4352-af4e-dea458ea3bfd)) + (segment (start 137.003 109.9135) (end 136.427 109.9135) (width 0.2) (layer "B.Cu") (net 2) (tstamp d422399a-6e58-440c-83d1-107add31d2e0)) + (segment (start 158.637 89.2835) (end 158.737 89.1835) (width 0.2) (layer "B.Cu") (net 2) (tstamp d93d1ec1-4ba2-4d91-8e6b-c680dbada0a9)) + (segment (start 154.051 103.1855) (end 153.869 103.1855) (width 0.2) (layer "B.Cu") (net 2) (tstamp d98f7a79-29d9-4f18-8aee-56a72582daf4)) + (segment (start 154.305 79.3095) (end 155.271 79.3095) (width 0.2) (layer "B.Cu") (net 2) (tstamp df0eb765-14ca-4807-8dbd-85548af8b472)) + (segment (start 141.517 79.1235) (end 141.867 79.4735) (width 0.2) (layer "B.Cu") (net 2) (tstamp e8553e8c-636e-489d-97cb-234c1dc756ce)) + (segment (start 149.487 79.8835) (end 149.487 82.2735) (width 0.2) (layer "B.Cu") (net 2) (tstamp f363914a-6045-47c8-8ba1-3e781ea2bad6)) + (segment (start 154.051 100.6495) (end 154.607233 101.205733) (width 0.2) (layer "B.Cu") (net 2) (tstamp faffdb7c-3f4f-4114-8ee0-a520b56ba216)) + (segment (start 137.313501 78.524714) (end 137.702689 78.913902) (width 0.2) (layer "B.Cu") (net 2) (tstamp ffc67126-b88a-4d30-ba20-f78b40c5fca9)) + (segment (start 132.610992 107.276492) (end 132.610992 102.552508) (width 0.2) (layer "F.Cu") (net 3) (tstamp 04f7dd01-1cbc-4ae9-b2b0-d8926cbd480f)) + (segment (start 152.099 109.3635) (end 153.035 110.2995) (width 0.2) (layer "F.Cu") (net 3) (tstamp 0564e716-a54f-47e9-a53c-f646fe532057)) + (segment (start 132.827 88.5835) (end 132.827 94.1935) (width 0.2) (layer "F.Cu") (net 3) (tstamp 06235794-647d-4671-bbe8-74519d0c4983)) + (segment (start 152.442011 82.828585) (end 152.442011 81.913489) (width 0.2) (layer "F.Cu") (net 3) (tstamp 10450106-bf88-470e-ae52-2a551840a76c)) + (segment (start 134.501 81.3285) (end 134.516 81.3435) (width 0.2) (layer "F.Cu") (net 3) (tstamp 12882724-b8d5-42d9-b44b-e25b61ad9497)) + (segment (start 132.887 85.9335) (end 133.837 86.8835) (width 0.2) (layer "F.Cu") (net 3) (tstamp 12bdd01c-f32e-47ae-ab3c-45b86039e234)) + (segment (start 134.516 110.2995) (end 134.516 110.6195) (width 0.2) (layer "F.Cu") (net 3) (tstamp 1c5145a3-f86e-4190-9db3-679bc4f883f1)) + (segment (start 150.797 84.473596) (end 152.442011 82.828585) (width 0.2) (layer "F.Cu") (net 3) (tstamp 1fc202a5-da4f-4640-a386-c0e1bc35d683)) + (segment (start 155.207234 102.623266) (end 154.806001 103.024499) (width 0.2) (layer "F.Cu") (net 3) (tstamp 203ec56c-7ae1-4e1d-a7b1-f28519d363bf)) + (segment (start 134.500999 95.836501) (end 134.501 99.0595) (width 0.2) (layer "F.Cu") (net 3) (tstamp 293abee9-a15e-4aad-ac1d-7783e2f161cb)) + (segment (start 133.447 81.3435) (end 132.887 81.9035) (width 0.2) (layer "F.Cu") (net 3) (tstamp 2e4b3ad7-5bcc-4cc3-bb81-d830318c4827)) + (segment (start 151.837 111.5435) (end 154.557 111.5435) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3342ed26-a721-4204-81c4-05c7ce572b2e)) + (segment (start 134.501 99.0595) (end 134.501 99.5145) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3715fbf8-b0fe-4255-a1b1-eaae8c572113)) + (segment (start 132.887 81.9035) (end 132.887 85.9335) (width 0.2) (layer "F.Cu") (net 3) (tstamp 3f550d94-6461-4207-b7ff-32ef901fe9f3)) + (segment (start 152.989 100.6475) (end 152.989 100.4765) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4016f0b6-146f-4e05-b942-2f0eea04d544)) + (segment (start 136.672498 112.775998) (end 138.049 112.775998) (width 0.2) (layer "F.Cu") (net 3) (tstamp 40e3c3ff-38f6-425e-af93-529165400a08)) + (segment (start 151.217 110.9235) (end 149.2995 110.9235) (width 0.2) (layer "F.Cu") (net 3) (tstamp 43516f02-0958-496c-8765-1972e24a921b)) + (segment (start 153.057001 100.715501) (end 152.989 100.6475) (width 0.2) (layer "F.Cu") (net 3) (tstamp 456ba15f-2704-4239-83f2-8414e48139df)) + (segment (start 134.402 94.6885) (end 134.516 94.8025) (width 0.2) (layer "F.Cu") (net 3) (tstamp 48d5aaa0-5a87-43cc-b5e3-001098b876c5)) + (segment (start 132.610992 102.552508) (end 134.516 100.6475) (width 0.2) (layer "F.Cu") (net 3) (tstamp 49a86a83-1e0a-4fc2-80f9-7eadea215e3e)) + (segment (start 151.217 110.9235) (end 151.837 111.5435) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4b9b08e7-32d9-4251-bbf6-108f4b15b5a5)) + (segment (start 153.012 95.6735) (end 152.027 94.6885) (width 0.2) (layer "F.Cu") (net 3) (tstamp 55a8ef1f-4049-4e59-bf57-81eb3a64549f)) + (segment (start 153.012 96.9285) (end 155.207234 99.123734) (width 0.2) (layer "F.Cu") (net 3) (tstamp 58d61663-f14b-460f-a181-7e79286d83cb)) + (segment (start 134.516 95.8215) (end 134.500999 95.836501) (width 0.2) (layer "F.Cu") (net 3) (tstamp 5a3b7d03-3e17-430f-aa7d-8edcd16bf812)) + (segment (start 134.516 110.6195) (end 136.672498 112.775998) (width 0.2) (layer "F.Cu") (net 3) (tstamp 5b704142-7aa7-426a-9190-5103eb8210e7)) + (segment (start 134.501 110.2845) (end 134.516 110.2995) (width 0.2) (layer "F.Cu") (net 3) (tstamp 5d5d2430-db5a-424b-8746-8b75d0662643)) + (segment (start 152.989 100.4765) (end 152.027 99.5145) (width 0.2) (layer "F.Cu") (net 3) (tstamp 64520d0d-4205-484e-b64e-36e4c5168566)) + (segment (start 134.501 109.1665) (end 132.610992 107.276492) (width 0.2) (layer "F.Cu") (net 3) (tstamp 64dc0230-8318-4fb9-a7b1-f74cf02e457d)) + (segment (start 151.514 109.1665) (end 151.217 109.4635) (width 0.2) (layer "F.Cu") (net 3) (tstamp 66b6582d-e3cf-462a-9bc1-b5b5c4b3d1a2)) + (segment (start 134.501 80.2105) (end 134.501 81.3285) (width 0.2) (layer "F.Cu") (net 3) (tstamp 696ff715-af6e-46b5-b2a8-7368a6e117aa)) + (segment (start 153.012 81.1955) (end 152.027 80.2105) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6b53551f-281a-412a-9aba-de99fee197cd)) + (segment (start 153.012 95.8215) (end 153.012 95.6735) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6fee55e2-c81b-438b-a6d9-6b1c8e704f37)) + (segment (start 149.2995 110.9235) (end 148.971 111.252) (width 0.2) (layer "F.Cu") (net 3) (tstamp 740b5da2-9b4c-4ac0-942f-e13d6ea2ecbd)) + (segment (start 151.627 94.6885) (end 150.797 93.8585) (width 0.2) (layer "F.Cu") (net 3) (tstamp 7479efca-6233-4e3d-8acd-6a39f6878910)) + (segment (start 152.099 109.1665) (end 152.099 109.3635) (width 0.2) (layer "F.Cu") (net 3) (tstamp 786bdedd-83fd-4941-96f7-79b921a92738)) + (segment (start 153.012 95.8215) (end 153.012 96.9285) (width 0.2) (layer "F.Cu") (net 3) (tstamp 79a258c7-12b4-48a4-8557-ad486f6efa7b)) + (segment (start 131.191 109.601) (end 134.0665 109.601) (width 0.2) (layer "F.Cu") (net 3) (tstamp 7af01593-8816-4808-9a51-23b196098a6a)) + (segment (start 150.797 93.8585) (end 150.797 84.473596) (width 0.2) (layer "F.Cu") (net 3) (tstamp 846551f6-1643-40e0-97ea-acb3c444ec5b)) + (segment (start 152.027 94.6885) (end 151.627 94.6885) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8a5e0c80-b456-4acc-a742-4fac54074a75)) + (segment (start 132.827 94.1935) (end 132.537 94.4835) (width 0.2) (layer "F.Cu") (net 3) (tstamp 8d71fbb2-a9dc-49df-b85d-3c1ad40467f2)) + (segment (start 133.837 87.5735) (end 132.827 88.5835) (width 0.2) (layer "F.Cu") (net 3) (tstamp 90f361de-f1e3-47b3-b4e9-8f373b1cf4c6)) + (segment (start 153.555157 102.057811) (end 153.057001 101.559655) (width 0.2) (layer "F.Cu") (net 3) (tstamp 933aa608-ef16-4bfe-826d-5d60f986b473)) + (segment (start 155.325021 110.775479) (end 155.325021 103.543519) (width 0.2) (layer "F.Cu") (net 3) (tstamp 97d57808-7354-4ef6-b141-6c90adbbda24)) + (segment (start 153.057001 101.559655) (end 153.057001 100.715501) (width 0.2) (layer "F.Cu") (net 3) (tstamp a68aa0a2-b810-4766-bcd0-4ef157be5d31)) + (segment (start 155.325021 103.543519) (end 154.806001 103.024499) (width 0.2) (layer "F.Cu") (net 3) (tstamp acdea89e-4077-457b-9421-5804b4082e9c)) + (segment (start 134.516 100.6475) (end 134.516 99.5295) (width 0.2) (layer "F.Cu") (net 3) (tstamp b0b8779e-d7d6-4835-91a6-7ef2169e9be8)) + (segment (start 155.207234 99.123734) (end 155.207234 102.623266) (width 0.2) (layer "F.Cu") (net 3) (tstamp b28ed02c-9d8f-4a6c-8e29-5562ba11e220)) + (segment (start 134.0665 109.601) (end 134.501 109.1665) (width 0.2) (layer "F.Cu") (net 3) (tstamp c118cb02-b7a7-46be-bffc-cf90f1d18fdf)) + (segment (start 134.067 93.7535) (end 134.067 94.2545) (width 0.2) (layer "F.Cu") (net 3) (tstamp c5188645-b1d7-45aa-9e15-54292d748315)) + (segment (start 134.067 94.2545) (end 134.501 94.6885) (width 0.2) (layer "F.Cu") (net 3) (tstamp c51c7e14-d0d4-4bf9-8546-8298ca561268)) + (segment (start 134.501 94.6885) (end 134.402 94.6885) (width 0.2) (layer "F.Cu") (net 3) (tstamp ce0c09e0-a1b3-460c-b29f-deb5d4deb28c)) + (segment (start 134.516 94.8025) (end 134.516 95.8215) (width 0.2) (layer "F.Cu") (net 3) (tstamp d27d0637-1e70-4856-9a1e-efd3d2e2b92b)) + (segment (start 134.501 109.1665) (end 134.501 110.2845) (width 0.2) (layer "F.Cu") (net 3) (tstamp d78750b4-456a-492e-be3e-416c6ad0114c)) + (segment (start 154.806001 103.024499) (end 154.806001 102.894499) (width 0.2) (layer "F.Cu") (net 3) (tstamp db911a94-030f-4d8d-af79-415301701f86)) + (segment (start 154.806001 102.894499) (end 153.969313 102.057811) (width 0.2) (layer "F.Cu") (net 3) (tstamp dc4dce94-1ff3-4c46-b8a4-40127e97d529)) + (segment (start 152.099 109.1665) (end 151.514 109.1665) (width 0.2) (layer "F.Cu") (net 3) (tstamp e7ddc1ad-5f81-4d85-af6c-e2975771c1d4)) + (segment (start 154.557 111.5435) (end 155.325021 110.775479) (width 0.2) (layer "F.Cu") (net 3) (tstamp e9ff45bf-fc08-451b-99b9-277d5018d854)) + (segment (start 133.837 86.8835) (end 133.837 87.5735) (width 0.2) (layer "F.Cu") (net 3) (tstamp ec524d2d-6d4b-4c8a-9799-8160c9a55b86)) + (segment (start 151.217 109.4635) (end 151.217 110.9235) (width 0.2) (layer "F.Cu") (net 3) (tstamp ecccc31a-1001-4efe-a579-bf193387f4e3)) + (segment (start 134.516 81.3435) (end 133.447 81.3435) (width 0.2) (layer "F.Cu") (net 3) (tstamp f5141d29-01ec-417e-827a-7c286b011e46)) + (segment (start 153.012 81.3435) (end 153.012 81.1955) (width 0.2) (layer "F.Cu") (net 3) (tstamp f5da5d7a-4d4a-4d8b-8f48-07a5872fe421)) + (segment (start 152.442011 81.913489) (end 153.012 81.3435) (width 0.2) (layer "F.Cu") (net 3) (tstamp f771b6ba-d81f-4652-9227-257036806a1e)) + (segment (start 134.516 99.5295) (end 134.501 99.5145) (width 0.2) (layer "F.Cu") (net 3) (tstamp fd7dbe34-5e6c-4193-99bd-fa88e9fa3be5)) + (segment (start 153.969313 102.057811) (end 153.555157 102.057811) (width 0.2) (layer "F.Cu") (net 3) (tstamp fdb63e51-0e9d-4cb6-96f1-0e811fc15143)) + (via (at 134.067 93.7535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp 1e1e71d5-7705-4928-8440-41a3ac004068)) + (via (at 138.049 112.775998) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 7542cf71-ca00-4779-a2b8-266d33d3ac33)) + (via (at 148.971 111.252) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp c5f8c086-18ea-47c3-99c0-92403e69c821)) + (via (at 132.537 94.4835) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp f7ed9d6c-0e86-45f9-80e2-4031d27e7436)) + (segment (start 138.049 115.3795) (end 138.049 112.775998) (width 0.2) (layer "B.Cu") (net 3) (tstamp 4dc5156f-8ff7-49d8-a7d0-e03fb619da08)) + (segment (start 132.537 94.4835) (end 133.337 94.4835) (width 0.2) (layer "B.Cu") (net 3) (tstamp 89489876-d7a4-4c4c-897c-92d891644c98)) + (segment (start 133.337 94.4835) (end 134.067 93.7535) (width 0.2) (layer "B.Cu") (net 3) (tstamp 8a6b5d1b-0fb7-44c3-9141-95fe06aa67a9)) + (segment (start 147.447002 112.775998) (end 148.971 111.252) (width 0.2) (layer "B.Cu") (net 3) (tstamp baf4b291-83ee-4884-866f-24aa320f939a)) + (segment (start 138.049 112.775998) (end 147.447002 112.775998) (width 0.2) (layer "B.Cu") (net 3) (tstamp e2e8dfd2-77b6-4807-938f-f719aa6e9d9f)) + (segment (start 151.51 85.0365) (end 151.437 84.9635) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0ad6e94d-bc81-426b-ba13-c3f3b4bacd9b)) + (segment (start 143.877 81.6935) (end 142.987 82.5835) (width 0.2) (layer "F.Cu") (net 4) (tstamp 1437ccdf-b2be-4ccb-8681-1d23ff02a461)) + (segment (start 143.858998 103.573498) (end 146.179998 103.573498) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2073973d-1d86-4146-b0ae-3521f1f1b8b1)) + (segment (start 134.516 86.1695) (end 134.516 87.7602) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2369be27-62ee-41c0-a48d-5af764a6b500)) + (segment (start 139.076221 81.3435) (end 138.31701 82.102711) (width 0.2) (layer "F.Cu") (net 4) (tstamp 29f41058-ad78-4438-94b5-519fc7aaf9a2)) + (segment (start 153.012 86.1695) (end 153.012 86.0215) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3041e762-b69b-4c9d-9629-5b4ffc4e3575)) + (segment (start 146.947 80.2105) (end 145.15 80.2105) (width 0.2) (layer "F.Cu") (net 4) (tstamp 32ca8831-bacb-419a-a232-6830ea4b7139)) + (segment (start 140.836 82.5835) (end 139.596 81.3435) (width 0.2) (layer "F.Cu") (net 4) (tstamp 34304963-fa74-4f0c-af39-a42dee468be4)) + (segment (start 146.947 80.2105) (end 146.947 80.3585) (width 0.2) (layer "F.Cu") (net 4) (tstamp 36dafb84-056b-4b81-8645-bca2f1a9ecf0)) + (segment (start 134.527 83.0035) (end 133.887 83.6435) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3f28b2f4-ecca-403f-a105-0a07b8f6dc11)) + (segment (start 133.887 83.6435) (end 133.887 84.4225) (width 0.2) (layer "F.Cu") (net 4) (tstamp 4073f35b-498a-4b42-ba4b-0381943b38fb)) + (segment (start 146.947 80.3585) (end 147.932 81.3435) (width 0.2) (layer "F.Cu") (net 4) (tstamp 42e5fd7a-9529-429a-9243-d40f97390240)) + (segment (start 138.929294 105.4735) (end 137.825012 106.577782) (width 0.2) (layer "F.Cu") (net 4) (tstamp 43c1617f-b225-4fa6-b0c5-7172c591260d)) + (segment (start 146.947 104.4885) (end 147.932 105.4735) (width 0.2) (layer "F.Cu") (net 4) (tstamp 44091cf6-c366-4499-a449-b3142b062040)) + (segment (start 134.501 86.1545) (end 134.516 86.1695) (width 0.2) (layer "F.Cu") (net 4) (tstamp 4c73ded8-5f4b-4333-8801-a49dceac11e9)) + (segment (start 137.247 83.0035) (end 134.527 83.0035) (width 0.2) (layer "F.Cu") (net 4) (tstamp 4cd86137-f73d-4ae8-a178-d71c087db8af)) + (segment (start 139.581 80.2105) (end 139.581 81.3285) (width 0.2) (layer "F.Cu") (net 4) (tstamp 4d55137c-b190-45cb-bfe5-a30cb4a68ad5)) + (segment (start 140.308 103.6135) (end 143.818996 103.6135) (width 0.2) (layer "F.Cu") (net 4) (tstamp 5112ffd5-c346-4560-b462-e9fb472c62c7)) + (segment (start 146.947 104.3405) (end 146.947 104.4885) (width 0.2) (layer "F.Cu") (net 4) (tstamp 516dd906-8c52-46c9-a3b4-da46ebae77a2)) + (segment (start 139.581 104.3405) (end 139.581 105.4585) (width 0.2) (layer "F.Cu") (net 4) (tstamp 5ac9492a-b17a-4a48-888d-814c0b48ca2f)) + (segment (start 133.227011 96.859189) (end 132.867011 97.219189) (width 0.2) (layer "F.Cu") (net 4) (tstamp 845f7ee5-a915-4e4e-b2ce-16f4f940278f)) + (segment (start 139.581 104.3405) (end 140.308 103.6135) (width 0.2) (layer "F.Cu") (net 4) (tstamp 852fc01d-01eb-4721-82e5-20ba8a7a5e2d)) + (segment (start 139.581 81.3285) (end 139.596 81.3435) (width 0.2) (layer "F.Cu") (net 4) (tstamp 87148a51-3c96-42a9-8920-1993fbb60968)) + (segment (start 139.596 81.3435) (end 139.076221 81.3435) (width 0.2) (layer "F.Cu") (net 4) (tstamp 87a2fdc6-a702-4e78-978d-eb9d56a60731)) + (segment (start 134.501 85.0365) (end 134.501 86.1545) (width 0.2) (layer "F.Cu") (net 4) (tstamp 895015f8-016b-4d59-9e7a-96515b633ff3)) + (segment (start 146.179998 103.573498) (end 146.947 104.3405) (width 0.2) (layer "F.Cu") (net 4) (tstamp 933a4ff3-877f-47dd-8466-160da4a9c5a0)) + (segment (start 133.887 84.4225) (end 134.501 85.0365) (width 0.2) (layer "F.Cu") (net 4) (tstamp 963cbd26-ac7f-42cc-8621-11adc8610b16)) + (segment (start 139.581 105.4585) (end 139.596 105.4735) (width 0.2) (layer "F.Cu") (net 4) (tstamp 96600868-ef89-4439-b617-73ba6e127834)) + (segment (start 153.012 86.0215) (end 152.027 85.0365) (width 0.2) (layer "F.Cu") (net 4) (tstamp a0101a77-3d5c-4c9c-8d89-635a436d0024)) + (segment (start 132.867011 97.219189) (end 131.571311 97.219189) (width 0.2) (layer "F.Cu") (net 4) (tstamp bd646ef4-e3de-4620-a87e-f188af5e569f)) + (segment (start 152.027 85.0365) (end 151.51 85.0365) (width 0.2) (layer "F.Cu") (net 4) (tstamp bf722426-1852-4901-a9f9-8546172c6fb4)) + (segment (start 139.596 105.4735) (end 138.929294 105.4735) (width 0.2) (layer "F.Cu") (net 4) (tstamp c0a02f3c-b7d0-40bd-a793-3b8caff3701e)) + (segment (start 143.818996 103.6135) (end 143.858998 103.573498) (width 0.2) (layer "F.Cu") (net 4) (tstamp c331bdec-57fc-410f-9cd6-d1d441ce0fd0)) + (segment (start 133.227011 89.049189) (end 133.227011 96.859189) (width 0.2) (layer "F.Cu") (net 4) (tstamp c390e38c-af58-4fd7-97e4-3f91d4bf2102)) + (segment (start 134.516 87.7602) (end 133.227011 89.049189) (width 0.2) (layer "F.Cu") (net 4) (tstamp df85f231-1c2c-4801-bee6-7ef263d00edd)) + (segment (start 131.571311 97.219189) (end 131.191 97.5995) (width 0.2) (layer "F.Cu") (net 4) (tstamp e25ffcbe-8832-4fd4-980f-6e39df262c66)) + (segment (start 142.987 82.5835) (end 140.836 82.5835) (width 0.2) (layer "F.Cu") (net 4) (tstamp e681464b-dfd8-4905-ab93-be9dfb4234c4)) + (segment (start 145.15 80.2105) (end 144.747 80.6135) (width 0.2) (layer "F.Cu") (net 4) (tstamp f82cceb3-b928-49bf-a08d-3563a779c3d3)) + (via (at 138.31701 82.102711) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 4) (tstamp 1687d2f1-76b9-429d-b1b3-810fa400436e)) + (via (at 137.247 83.0035) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 4) (tstamp 2903eab3-e16b-4658-a71f-07fca585e600)) + (via (at 143.877 81.6935) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 4) (tstamp 5405150f-99f0-4038-aefa-c0194f7661af)) + (via (at 137.825012 106.577782) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 4) (tstamp 5974f061-5f08-4e6a-b0c6-73271e846f07)) + (via (at 144.747 80.6135) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 4) (tstamp 8b8ff581-69c5-425c-9144-ad331cd4df38)) + (via (at 151.437 84.9635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 4) (tstamp c97bef06-930b-4530-b5f7-98598130bd8e)) + (segment (start 129.667 104.7115) (end 135.95873 104.7115) (width 0.2) (layer "B.Cu") (net 4) (tstamp 09e08570-ddfe-47c4-bba3-6b9447fc8cdf)) + (segment (start 131.191 97.5995) (end 129.667 99.1235) (width 0.2) (layer "B.Cu") (net 4) (tstamp 1ab560b2-28ce-49b8-8e21-d899b4f6a5c0)) + (segment (start 144.747 80.6135) (end 144.747 80.8235) (width 0.2) (layer "B.Cu") (net 4) (tstamp 2fae08dc-9961-4a75-b37e-30bc3e31a988)) + (segment (start 129.667 99.1235) (end 129.667 104.7115) (width 0.2) (layer "B.Cu") (net 4) (tstamp 353d7e13-c102-476d-aaf0-f06407efcd51)) + (segment (start 138.147789 82.102711) (end 138.31701 82.102711) (width 0.2) (layer "B.Cu") (net 4) (tstamp 38d38080-8d42-42ec-af0b-7ad76856a1fc)) + (segment (start 150.697 84.2235) (end 145.017 84.2235) (width 0.2) (layer "B.Cu") (net 4) (tstamp 4284242d-1c64-44e5-afc2-b0046ec29d15)) + (segment (start 151.437 84.9635) (end 150.697 84.2235) (width 0.2) (layer "B.Cu") (net 4) (tstamp 4724f226-a563-4a69-a5be-9503b4e0c53b)) + (segment (start 137.247 83.0035) (end 138.147789 82.102711) (width 0.2) (layer "B.Cu") (net 4) (tstamp 5bb16a91-1d92-476e-a75e-9ecba98ee6c9)) + (segment (start 135.95873 104.7115) (end 137.825012 106.577782) (width 0.2) (layer "B.Cu") (net 4) (tstamp 71e3ffaf-2771-4672-90c5-aba83dd4ee62)) + (segment (start 145.017 84.2235) (end 143.877 83.0835) (width 0.2) (layer "B.Cu") (net 4) (tstamp a778ce25-3a24-4da2-b2c5-418c40df2844)) + (segment (start 144.747 80.8235) (end 143.877 81.6935) (width 0.2) (layer "B.Cu") (net 4) (tstamp aa41e1e5-e100-4c49-be26-acbd2232aa17)) + (segment (start 143.877 83.0835) (end 143.877 81.6935) (width 0.2) (layer "B.Cu") (net 4) (tstamp f1945589-7969-40c3-8aef-276f99d4401c)) + (segment (start 134.501 104.3405) (end 134.501 102.2095) (width 0.2) (layer "F.Cu") (net 5) (tstamp 0b314d8e-35d1-48a0-a0d0-e4c6f39f4ce9)) + (segment (start 138.307001 93.205499) (end 137.295002 92.1935) (width 0.2) (layer "F.Cu") (net 5) (tstamp 0bebb848-d372-4c4c-a0a3-662c5c09f719)) + (segment (start 142.6213 101.9835) (end 140.165004 101.9835) (width 0.2) (layer "F.Cu") (net 5) (tstamp 1bc54f90-38d7-4ac6-88cc-e2a513c47725)) + (segment (start 150.007 87.3035) (end 150.137 87.4335) (width 0.2) (layer "F.Cu") (net 5) (tstamp 1fa1de45-bd2c-428a-aed9-88d9a80f699a)) + (segment (start 138.057 91.3835) (end 138.057 87.7085) (width 0.2) (layer "F.Cu") (net 5) (tstamp 2120e7ef-86ee-43e0-9915-fa494d17739e)) + (segment (start 145.396998 98.511502) (end 145.396998 97.935498) (width 0.2) (layer "F.Cu") (net 5) (tstamp 24591b9f-7b4b-486c-a35e-63a53faf5147)) + (segment (start 149.8835 115.3795) (end 144.147 109.643) (width 0.2) (layer "F.Cu") (net 5) (tstamp 26661f4e-5cfd-4e98-971e-9ea9dacffe7b)) + (segment (start 150.157 102.2435) (end 149.896989 102.503511) (width 0.2) (layer "F.Cu") (net 5) (tstamp 26c9c0f2-4264-491b-a7c7-dbc0950599ac)) + (segment (start 134.491 105.4985) (end 134.516 105.4735) (width 0.2) (layer "F.Cu") (net 5) (tstamp 26daff8e-2ba2-486e-94b0-7dc0811c6c62)) + (segment (start 153.012 90.9955) (end 153.012 90.8475) (width 0.2) (layer "F.Cu") (net 5) (tstamp 2866b4ea-6844-4bd7-8291-c499c6fe47c5)) + (segment (start 135.714 92.1935) (end 137.247 92.1935) (width 0.2) (layer "F.Cu") (net 5) (tstamp 32c36e41-d4b2-4209-a1c4-aa6fc0a0c78a)) + (segment (start 146.947 85.0365) (end 146.947 85.1845) (width 0.2) (layer "F.Cu") (net 5) (tstamp 33aebf59-ec30-4e80-b716-9564b40d6ff0)) + (segment (start 134.777 101.9335) (end 138.333 101.9335) (width 0.2) (layer "F.Cu") (net 5) (tstamp 3816a897-378d-49af-9757-a4228f316b15)) + (segment (start 153.012 105.3255) (end 152.027 104.3405) (width 0.2) (layer "F.Cu") (net 5) (tstamp 386ce248-4b59-47a0-a7c0-c817accc5ef4)) + (segment (start 139.327002 102.133502) (end 138.73 101.5365) (width 0.2) (layer "F.Cu") (net 5) (tstamp 424ef899-aa79-437d-bf19-962bc7e9585e)) + (segment (start 151.417 87.4735) (end 152.027 88.0835) (width 0.2) (layer "F.Cu") (net 5) (tstamp 4a8032bb-de19-45b6-8674-91151fb86156)) + (segment (start 152.027 104.3405) (end 152.027 104.1135) (width 0.2) (layer "F.Cu") (net 5) (tstamp 4d9a7c40-8642-40ac-95b4-cd1ba2f0dde0)) + (segment (start 139.619 99.5525) (end 139.581 99.5145) (width 0.2) (layer "F.Cu") (net 5) (tstamp 50a48e2d-af5b-4e04-a539-0290e46cd427)) + (segment (start 146.399996 99.5145) (end 145.396998 98.511502) (width 0.2) (layer "F.Cu") (net 5) (tstamp 51900417-80f1-4068-82d4-334c93cda4f2)) + (segment (start 147.955 100.6475) (end 147.955 100.5225) (width 0.2) (layer "F.Cu") (net 5) (tstamp 52239d9e-cf24-4cd0-879f-aa0ba96ae353)) + (segment (start 137.295002 92.1935) (end 137.247 92.1935) (width 0.2) (layer "F.Cu") (net 5) (tstamp 58b8694e-4b5f-4d60-9ff8-a8f496c246c2)) + (segment (start 139.581 99.5145) (end 139.581 97.9875) (width 0.2) (layer "F.Cu") (net 5) (tstamp 5add61c6-a158-4f62-ac0a-a0edd8d80abc)) + (segment (start 138.333 101.9335) (end 138.73 101.5365) (width 0.2) (layer "F.Cu") (net 5) (tstamp 5e31f447-a038-4e7d-b506-c9aabc586a7b)) + (segment (start 134.491 106.553) (end 133.363002 106.553) (width 0.2) (layer "F.Cu") (net 5) (tstamp 5f4144e7-5ee9-4a23-ad74-63ba3517a9be)) + (segment (start 139.581 97.9875) (end 138.307001 96.713501) (width 0.2) (layer "F.Cu") (net 5) (tstamp 5f68ca08-abc6-4052-8073-fd91acbccb5e)) + (segment (start 138.307001 96.713501) (end 138.307001 93.205499) (width 0.2) (layer "F.Cu") (net 5) (tstamp 619ddd9e-6d17-4775-a3f4-1d082d5abe0d)) + (segment (start 147.047001 98.959499) (end 149.642999 98.959499) (width 0.2) (layer "F.Cu") (net 5) (tstamp 62e52195-b85e-49da-976c-00f4918b2777)) + (segment (start 146.947 99.0595) (end 147.047001 98.959499) (width 0.2) (layer "F.Cu") (net 5) (tstamp 63ac0009-93a6-484f-b542-0bf7c6c67a92)) + (segment (start 147.641311 102.423511) (end 147.331311 102.423511) (width 0.2) (layer "F.Cu") (net 5) (tstamp 644b655c-1c76-4947-8593-8ece20e61e5c)) + (segment (start 145.547 87.3035) (end 150.007 87.3035) (width 0.2) (layer "F.Cu") (net 5) (tstamp 6577250e-9ca7-40f9-900b-a9385e9a7c58)) + (segment (start 150.245021 101.132377) (end 150.157 101.220398) (width 0.2) (layer "F.Cu") (net 5) (tstamp 69c6499d-5567-48b2-a22d-fb828c2df6b7)) + (segment (start 143.5327 101.9835) (end 143.412701 102.103499) (width 0.2) (layer "F.Cu") (net 5) (tstamp 6b721152-d1be-4555-b84c-aff3502023cc)) + (segment (start 140.015002 102.133502) (end 139.327002 102.133502) (width 0.2) (layer "F.Cu") (net 5) (tstamp 755868a2-5e62-49dd-bff7-e5677c8d86be)) + (segment (start 134.516 89.8775) (end 134.501 89.8625) (width 0.2) (layer "F.Cu") (net 5) (tstamp 77651339-4cf3-4dc5-a85a-228c3a0dabc5)) + (segment (start 142.741299 102.103499) (end 142.6213 101.9835) (width 0.2) (layer "F.Cu") (net 5) (tstamp 7a39b581-23ad-46b8-aa95-fc2e67c73608)) + (segment (start 145.547 87.3035) (end 145.547 86.0365) (width 0.2) (layer "F.Cu") (net 5) (tstamp 7b9c26f5-91f2-443b-a25e-40267413c2b4)) + (segment (start 140.165004 101.9835) (end 140.015002 102.133502) (width 0.2) (layer "F.Cu") (net 5) (tstamp 7eb9a7f8-4bd6-4842-82e4-38e5fd5bfed0)) + (segment (start 149.642999 98.959499) (end 150.245021 99.561521) (width 0.2) (layer "F.Cu") (net 5) (tstamp 7f8a8e12-56e8-45e3-b933-fa6aafd194b4)) + (segment (start 145.396998 97.935498) (end 145.547 97.785496) (width 0.2) (layer "F.Cu") (net 5) (tstamp 806ddc1a-b13e-434d-b98b-540b39e1b278)) + (segment (start 134.501 102.2095) (end 134.777 101.9335) (width 0.2) (layer "F.Cu") (net 5) (tstamp 81514205-c459-4456-926e-017faa260105)) + (segment (start 138.73 101.5365) (end 139.619 100.6475) (width 0.2) (layer "F.Cu") (net 5) (tstamp 82e34959-8eda-4eb4-a948-b14da44a6b3b)) + (segment (start 137.247 92.1935) (end 138.057 91.3835) (width 0.2) (layer "F.Cu") (net 5) (tstamp 8420936c-c438-4b62-9218-35303669a668)) + (segment (start 134.516 104.3555) (end 134.501 104.3405) (width 0.2) (layer "F.Cu") (net 5) (tstamp 8ce72c2e-5ff6-4049-8d7a-42dfe060addf)) + (segment (start 143.412701 102.103499) (end 142.741299 102.103499) (width 0.2) (layer "F.Cu") (net 5) (tstamp 8f1d0c8f-7ecd-4a8e-b838-29867ae4d456)) + (segment (start 134.516 105.4735) (end 134.516 104.3555) (width 0.2) (layer "F.Cu") (net 5) (tstamp 95e1b8b7-7031-4453-aa36-47c9fdf3ea2f)) + (segment (start 134.516 90.9955) (end 135.714 92.1935) (width 0.2) (layer "F.Cu") (net 5) (tstamp 96aa24d6-6418-4153-b72b-290f7fbc31c0)) + (segment (start 150.245021 99.561521) (end 150.245021 101.132377) (width 0.2) (layer "F.Cu") (net 5) (tstamp 99fd77cb-b5c6-4f19-8333-4d9f90c00299)) + (segment (start 134.491 106.553) (end 134.491 105.4985) (width 0.2) (layer "F.Cu") (net 5) (tstamp 9ca5e3f7-e9b3-4256-a877-a8a5abb5faf4)) + (segment (start 147.955 100.5225) (end 146.947 99.5145) (width 0.2) (layer "F.Cu") (net 5) (tstamp 9f510935-a099-4346-bf1a-7fa7af34bf7f)) + (segment (start 139.619 100.6475) (end 139.619 99.5525) (width 0.2) (layer "F.Cu") (net 5) (tstamp ada48561-816a-4754-b39c-afdd63d0cb22)) + (segment (start 146.8913 101.9835) (end 144.187 101.9835) (width 0.2) (layer "F.Cu") (net 5) (tstamp ae418397-f6d4-4799-b75d-cb07a199523d)) + (segment (start 139.596 85.0515) (end 139.581 85.0365) (width 0.2) (layer "F.Cu") (net 5) (tstamp af6560b6-d26d-4ad4-8991-608d1f1d9827)) + (segment (start 144.147 109.643) (end 144.147 104.1735) (width 0.2) (layer "F.Cu") (net 5) (tstamp b0203565-3046-4bcd-938f-14c09e954bab)) + (segment (start 149.381502 115.3795) (end 149.8835 115.3795) (width 0.2) (layer "F.Cu") (net 5) (tstamp b045575b-e7b3-4880-b43e-178d41ee7d29)) + (segment (start 152.027 88.0835) (end 152.027 89.8625) (width 0.2) (layer "F.Cu") (net 5) (tstamp b1a04fa5-5a1e-4d25-b321-a8ea9406f6d3)) + (segment (start 146.547 85.0365) (end 146.947 85.0365) (width 0.2) (layer "F.Cu") (net 5) (tstamp b1a44922-4926-4f81-a56b-2aee5425b575)) + (segment (start 146.947 85.1845) (end 147.932 86.1695) (width 0.2) (layer "F.Cu") (net 5) (tstamp b3c86b3c-a8e7-4001-95ca-9ff77cb568a0)) + (segment (start 145.547 86.0365) (end 146.547 85.0365) (width 0.2) (layer "F.Cu") (net 5) (tstamp b7990cb9-a962-4efb-8e99-2af56fe3d93d)) + (segment (start 153.012 105.4735) (end 153.012 105.3255) (width 0.2) (layer "F.Cu") (net 5) (tstamp b96d2751-81d2-429b-a61f-073d1434b4ef)) + (segment (start 147.331311 102.423511) (end 146.8913 101.9835) (width 0.2) (layer "F.Cu") (net 5) (tstamp be6cd369-2c2c-4dac-979d-fa0070aee878)) + (segment (start 133.363002 106.553) (end 133.310994 106.500992) (width 0.2) (layer "F.Cu") (net 5) (tstamp c1885c91-8174-4da9-a53c-16a42ca07d29)) + (segment (start 152.027 104.1135) (end 150.157 102.2435) (width 0.2) (layer "F.Cu") (net 5) (tstamp c81a4fe7-7ba0-4198-8420-2234599311ac)) + (segment (start 139.596 86.1695) (end 139.596 85.0515) (width 0.2) (layer "F.Cu") (net 5) (tstamp cc09719d-65e8-4746-be3a-254343ee808b)) + (segment (start 145.547 97.785496) (end 145.547 87.3035) (width 0.2) (layer "F.Cu") (net 5) (tstamp cca22aa8-a47c-4176-b9ad-2a0514e59749)) + (segment (start 149.896989 102.503511) (end 147.721311 102.503511) (width 0.2) (layer "F.Cu") (net 5) (tstamp cdd69349-abc9-404b-9816-0723512709f7)) + (segment (start 146.947 99.5145) (end 146.947 99.0595) (width 0.2) (layer "F.Cu") (net 5) (tstamp d97197c7-6ef3-4452-b442-c38b6220fcaa)) + (segment (start 144.187 101.9835) (end 143.5327 101.9835) (width 0.2) (layer "F.Cu") (net 5) (tstamp df947268-abc7-4aa0-a99f-cd0812129d4f)) + (segment (start 138.057 87.7085) (end 139.596 86.1695) (width 0.2) (layer "F.Cu") (net 5) (tstamp e2767459-d80d-4151-b838-47245163d977)) + (segment (start 146.947 99.5145) (end 146.399996 99.5145) (width 0.2) (layer "F.Cu") (net 5) (tstamp e959ea88-86de-493e-9d5b-42d7b10a45d5)) + (segment (start 134.516 90.9955) (end 134.516 89.8775) (width 0.2) (layer "F.Cu") (net 5) (tstamp f2d962a3-4bb2-4395-93e5-4e8e022fcea2)) + (segment (start 147.721311 102.503511) (end 147.641311 102.423511) (width 0.2) (layer "F.Cu") (net 5) (tstamp f69d4b0b-9e8b-4bc3-afe1-854d714c7991)) + (segment (start 153.012 90.8475) (end 152.027 89.8625) (width 0.2) (layer "F.Cu") (net 5) (tstamp f90984d0-fb0a-476f-8273-2e16c9e67d6f)) + (segment (start 150.157 101.220398) (end 150.157 102.2435) (width 0.2) (layer "F.Cu") (net 5) (tstamp fd22bc26-9177-4de3-ae1e-dd76c9a0b7a1)) + (via (at 144.147 104.1735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 5) (tstamp 03d993d2-d6fc-44aa-a1e3-3717a277c82a)) + (via (at 151.417 87.4735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 5) (tstamp 2d5454fa-6971-4df7-bcb9-53fe96d57cef)) + (via (at 144.187 101.9835) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 5) (tstamp 5b30b2ec-be43-4f6f-a588-c3f2757e5503)) + (via (at 133.310994 106.500992) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 7233262c-e20f-4c0a-a483-8b7961c5598c)) + (via (at 150.137 87.4335) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 5) (tstamp e28de77f-2f4c-44e4-b38a-5635d8a5f96d)) + (segment (start 144.147 104.1735) (end 144.147 102.0235) (width 0.2) (layer "B.Cu") (net 5) (tstamp 000dd5da-af8c-452e-bbf0-ab1063c7d2a7)) + (segment (start 150.137 87.4335) (end 151.377 87.4335) (width 0.2) (layer "B.Cu") (net 5) (tstamp 392be34a-1374-47ab-9b1f-c53be46e1d37)) + (segment (start 131.191 108.601) (end 131.191 108.331) (width 0.2) (layer "B.Cu") (net 5) (tstamp 3e617be1-198c-437c-afa6-5eb8758c30ab)) + (segment (start 151.377 87.4335) (end 151.417 87.4735) (width 0.2) (layer "B.Cu") (net 5) (tstamp 540ba715-de2e-4a48-9d99-ccdfd878d16c)) + (segment (start 144.147 102.0235) (end 144.187 101.9835) (width 0.2) (layer "B.Cu") (net 5) (tstamp 820738e8-ec2d-4e8f-a42e-7f2375dd70ba)) + (segment (start 131.191 108.331) (end 133.021008 106.500992) (width 0.2) (layer "B.Cu") (net 5) (tstamp bc49921c-a99b-4c3b-b272-bc011a5741ab)) + (segment (start 133.021008 106.500992) (end 133.310994 106.500992) (width 0.2) (layer "B.Cu") (net 5) (tstamp c8091e9d-b1a8-481e-bf62-12a6f5e18fd0)) + (segment (start 135.488 110.3015) (end 135.486 110.2995) (width 0.2) (layer "F.Cu") (net 6) (tstamp 752419e8-4a89-4c3e-a60f-6dd97c03f669)) + (segment (start 136.779 110.3015) (end 135.488 110.3015) (width 0.2) (layer "F.Cu") (net 6) (tstamp d05946e1-921b-4c10-984a-ddaf201ad1a1)) + (segment (start 136.777 105.4735) (end 136.779 105.4755) (width 0.2) (layer "F.Cu") (net 7) (tstamp 5455f963-716d-42a1-9252-01d2199c2973)) + (segment (start 135.486 105.4735) (end 136.777 105.4735) (width 0.2) (layer "F.Cu") (net 7) (tstamp 829c27f1-57de-41ea-87a3-571db843ab02)) + (segment (start 135.488 100.6495) (end 135.486 100.6475) (width 0.2) (layer "F.Cu") (net 8) (tstamp 20c7fdfa-b9c5-4ca3-b6c8-62d7d8b35094)) + (segment (start 136.779 100.6495) (end 135.488 100.6495) (width 0.2) (layer "F.Cu") (net 8) (tstamp 6798cc6a-3ada-4892-ac64-1c391f286b66)) + (segment (start 141.857 105.4735) (end 141.859 105.4755) (width 0.2) (layer "F.Cu") (net 9) (tstamp 2756345f-4cf2-4b2d-b596-d5b84b4d5113)) + (segment (start 140.566 105.4735) (end 141.857 105.4735) (width 0.2) (layer "F.Cu") (net 9) (tstamp 580c9a06-693f-4405-93d7-831606690fce)) + (segment (start 140.589 100.6475) (end 141.857 100.6475) (width 0.2) (layer "F.Cu") (net 10) (tstamp 09c97a2e-b426-4d12-9cd7-0c65ee4b60cf)) + (segment (start 141.857 100.6475) (end 141.859 100.6495) (width 0.2) (layer "F.Cu") (net 10) (tstamp 148a0ebd-e9e5-4864-a960-833c268660fe)) + (segment (start 148.907 106.2935) (end 149.225 105.9755) (width 0.2) (layer "F.Cu") (net 11) (tstamp 3ddd4a64-4339-4d0a-b44c-25d6b821d218)) + (segment (start 146.962 105.4735) (end 146.962 105.6885) (width 0.2) (layer "F.Cu") (net 11) (tstamp 50935c53-7113-493f-a13c-28a19b0c93a0)) + (segment (start 149.225 105.9755) (end 149.225 105.4755) (width 0.2) (layer "F.Cu") (net 11) (tstamp c1dacc0e-be9e-4933-b307-a9cfa341b8f4)) + (segment (start 147.567 106.2935) (end 148.907 106.2935) (width 0.2) (layer "F.Cu") (net 11) (tstamp c697becf-563a-41ac-8067-353e7b1f6efe)) + (segment (start 146.962 105.6885) (end 147.567 106.2935) (width 0.2) (layer "F.Cu") (net 11) (tstamp cc31176e-9685-4732-a2cc-6f96b88c69f6)) + (segment (start 148.903876 100.6515) (end 149.225 100.6515) (width 0.2) (layer "F.Cu") (net 12) (tstamp 40b23b87-01a6-464a-b356-ac837a657082)) + (segment (start 147.680707 101.343207) (end 148.212169 101.343207) (width 0.2) (layer "F.Cu") (net 12) (tstamp 80c48118-810c-4855-9da8-ffdfb23ac156)) + (segment (start 148.212169 101.343207) (end 148.903876 100.6515) (width 0.2) (layer "F.Cu") (net 12) (tstamp 8443d74e-c2a5-4d95-8788-7da6447b453b)) + (segment (start 146.985 100.6475) (end 147.680707 101.343207) (width 0.2) (layer "F.Cu") (net 12) (tstamp cecaa434-f3de-4d3f-84c8-ad4d00093918)) + (segment (start 154.305 110.5855) (end 153.807 111.0835) (width 0.2) (layer "F.Cu") (net 13) (tstamp 1f7a0b87-a345-46d4-a2c2-6132d301ee05)) + (segment (start 153.807 111.0835) (end 152.849 111.0835) (width 0.2) (layer "F.Cu") (net 13) (tstamp 4f656959-db22-4a7a-80e8-763a85fe128b)) + (segment (start 154.305 110.3015) (end 154.305 110.5855) (width 0.2) (layer "F.Cu") (net 13) (tstamp 6adac6fb-60f5-486f-a1db-029f530d4f38)) + (segment (start 152.849 111.0835) (end 152.065 110.2995) (width 0.2) (layer "F.Cu") (net 13) (tstamp e4e9c974-d770-453e-94e8-a9c5431210e8)) + (segment (start 154.305 105.4755) (end 154.305 105.5955) (width 0.2) (layer "F.Cu") (net 14) (tstamp 03370737-463e-4af8-bf03-5a6773666394)) + (segment (start 153.707 106.1935) (end 152.762 106.1935) (width 0.2) (layer "F.Cu") (net 14) (tstamp 126e7793-5525-46c4-be65-1dc7acecf535)) + (segment (start 154.305 105.5955) (end 153.707 106.1935) (width 0.2) (layer "F.Cu") (net 14) (tstamp 5465a694-d1fe-4331-8226-0d455c83dc9c)) + (segment (start 152.762 106.1935) (end 152.042 105.4735) (width 0.2) (layer "F.Cu") (net 14) (tstamp f6503a1b-8a3b-4514-bb86-2ec178f53037)) + (segment (start 152.019 100.6475) (end 152.019 100.98549) (width 0.2) (layer "F.Cu") (net 15) (tstamp 080d0195-1e3f-4be1-b834-14c0b9bceb72)) + (segment (start 153.80722 101.14728) (end 153.80722 101.42349) (width 0.2) (layer "F.Cu") (net 15) (tstamp 1795b2cf-23c0-4173-b502-31d68a2d9d7a)) + (segment (start 152.019 100.98549) (end 152.457 101.42349) (width 0.2) (layer "F.Cu") (net 15) (tstamp bd502231-82d5-4e03-870d-6abe1a5ab07c)) + (segment (start 154.305 100.6495) (end 153.80722 101.14728) (width 0.2) (layer "F.Cu") (net 15) (tstamp f0c8d2ed-2a1a-45d3-b703-dbde05abe367)) + (via (at 153.80722 101.42349) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp 4bd639b1-2366-4ff1-813e-2c90c6613380)) + (via (at 152.457 101.42349) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp 57d60d86-e017-4133-957e-8ea4a8fdc66e)) + (segment (start 153.80722 101.42349) (end 152.457 101.42349) (width 0.2) (layer "B.Cu") (net 15) (tstamp c07d8b69-7809-450c-a1f8-9351f7de31fe)) + (segment (start 135.001 107.8765) (end 136.745504 107.8765) (width 0.2) (layer "F.Cu") (net 16) (tstamp 046c0eaa-a240-40b9-a98a-73f978c2ad76)) + (segment (start 137.617 103.7635) (end 136.904 103.0505) (width 0.2) (layer "F.Cu") (net 16) (tstamp 240ae7f3-4ccc-4228-b300-e39b1d605050)) + (segment (start 135.686151 107.8765) (end 135.897631 108.08798) (width 0.2) (layer "F.Cu") (net 16) (tstamp 344cafb3-ba00-46be-bf6a-9d8a225a5100)) + (segment (start 137.225002 107.397002) (end 137.225002 105.964696) (width 0.2) (layer "F.Cu") (net 16) (tstamp 3a537b29-6e30-4ec3-83e2-4dcf8c27e010)) + (segment (start 137.617 105.572698) (end 137.617 103.7635) (width 0.2) (layer "F.Cu") (net 16) (tstamp 3c75dbe4-67d8-4cc3-a929-c187e13a85f5)) + (segment (start 137.225002 105.964696) (end 137.617 105.572698) (width 0.2) (layer "F.Cu") (net 16) (tstamp 5d2549fd-5f64-426e-98b7-62748df47cf6)) + (segment (start 135.001 107.8765) (end 135.686151 107.8765) (width 0.2) (layer "F.Cu") (net 16) (tstamp a8b61fe7-c8d0-4905-ab1d-c1c03ae41a2e)) + (segment (start 136.904 103.0505) (end 135.001 103.0505) (width 0.2) (layer "F.Cu") (net 16) (tstamp b73fe6dd-8c26-4966-9956-7d11c84c9080)) + (segment (start 136.745504 107.8765) (end 137.225002 107.397002) (width 0.2) (layer "F.Cu") (net 16) (tstamp d9eb3f63-3dfe-4bf4-b78d-46f4c9e2224b)) + (via (at 135.897631 108.08798) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 16) (tstamp 9064f2b1-82aa-465d-9030-6726e63667d0)) + (segment (start 137.003 108.0135) (end 135.972111 108.0135) (width 0.2) (layer "B.Cu") (net 16) (tstamp 028038f7-786e-41da-b8f4-0bc60be6bf45)) + (segment (start 134.954111 109.0315) (end 135.897631 108.08798) (width 0.2) (layer "B.Cu") (net 16) (tstamp 4ce2d536-fb34-499d-bbf6-939882c903b7)) + (segment (start 134.493 109.0315) (end 134.954111 109.0315) (width 0.2) (layer "B.Cu") (net 16) (tstamp 503f889b-af26-42ea-87d8-978d9718e8ba)) + (segment (start 135.972111 108.0135) (end 135.897631 108.08798) (width 0.2) (layer "B.Cu") (net 16) (tstamp cce7909b-c4bd-48c9-a48d-361dc76fe213)) + (segment (start 138.311002 97.5935) (end 136.597 97.5935) (width 0.2) (layer "F.Cu") (net 17) (tstamp 0a3f50cb-1379-4884-9960-039817ad277a)) + (segment (start 138.891002 98.1735) (end 138.311002 97.5935) (width 0.2) (layer "F.Cu") (net 17) (tstamp 59bb9342-dd81-4f3d-be70-2b29ab243131)) + (segment (start 135.928 98.2245) (end 135.947 98.2435) (width 0.2) (layer "F.Cu") (net 17) (tstamp 9353e695-343b-4620-80aa-327a1a1f9497)) + (segment (start 135.001 98.2245) (end 135.928 98.2245) (width 0.2) (layer "F.Cu") (net 17) (tstamp a0ee9a88-49ec-467b-8864-6f8ccfdcc459)) + (segment (start 136.597 97.5935) (end 135.947 98.2435) (width 0.2) (layer "F.Cu") (net 17) (tstamp de7d0b1b-7fcd-4e68-ac28-e779d3b99e6e)) + (via (at 138.891002 98.1735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp 55a8d951-614b-4089-b66c-dd74c821cf5f)) + (via (at 135.947 98.2435) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp cc9daa22-90de-43db-84e9-83946d8715c0)) + (segment (start 139.585002 98.8675) (end 138.891002 98.1735) (width 0.2) (layer "B.Cu") (net 17) (tstamp 1752173b-12e7-4d0b-baef-af5c453068f4)) + (segment (start 135.947 98.2435) (end 136.707 98.2435) (width 0.2) (layer "B.Cu") (net 17) (tstamp 6efca438-23e5-471c-8d2f-dc427ada2de4)) + (segment (start 139.827 98.8675) (end 139.585002 98.8675) (width 0.2) (layer "B.Cu") (net 17) (tstamp 79fec3fa-fac2-4106-81e1-14025424d49e)) + (segment (start 136.707 98.2435) (end 136.891 98.4275) (width 0.2) (layer "B.Cu") (net 17) (tstamp 9b2d796c-1f5d-414f-abb2-829ddf615691)) + (segment (start 142.47901 106.913648) (end 139.454167 109.938491) (width 0.2) (layer "F.Cu") (net 18) (tstamp 6c7e961c-a849-4887-8598-2ffb1f4de1e1)) + (segment (start 142.47901 105.07551) (end 142.47901 106.913648) (width 0.2) (layer "F.Cu") (net 18) (tstamp aad4578a-1016-43ac-bf18-19bb8397bb83)) + (segment (start 141.859 104.4555) (end 140.696 104.4555) (width 0.2) (layer "F.Cu") (net 18) (tstamp ca9b575a-dc4b-4e57-bba2-74f931b61e9f)) + (segment (start 141.859 104.4555) (end 142.47901 105.07551) (width 0.2) (layer "F.Cu") (net 18) (tstamp de9047c1-dc76-4ff7-aabd-010ad233a33b)) + (segment (start 140.696 104.4555) (end 140.581 104.3405) (width 0.2) (layer "F.Cu") (net 18) (tstamp f045df5a-8e63-486c-9525-c558cbac676d)) + (via (at 139.454167 109.938491) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 18) (tstamp 68317bdd-6edc-4e80-a765-66b29c464670)) + (segment (start 138.303 109.9135) (end 139.429176 109.9135) (width 0.2) (layer "B.Cu") (net 18) (tstamp 11cd887e-76b5-4da5-8a22-b0d97c8ce53c)) + (segment (start 139.429176 109.9135) (end 139.454167 109.938491) (width 0.2) (layer "B.Cu") (net 18) (tstamp 309801c7-ef12-47c9-b8c8-5718e7fdaf81)) + (segment (start 149.225 99.6315) (end 148.064 99.6315) (width 0.2) (layer "F.Cu") (net 19) (tstamp 04d25998-0323-48d5-b679-0696077912ed)) + (segment (start 147.497 102.0235) (end 146.927 101.4535) (width 0.2) (layer "F.Cu") (net 19) (tstamp 0b56fa12-5ed3-42f8-9b81-d4dd1e054e78)) + (segment (start 149.545 99.6315) (end 149.84501 99.93151) (width 0.2) (layer "F.Cu") (net 19) (tstamp 0c4047ee-224e-4880-b101-a6d5cb300478)) + (segment (start 142.967 99.802302) (end 142.967 101.4535) (width 0.2) (layer "F.Cu") (net 19) (tstamp 1365dc7a-736b-4377-aa80-8465376d554f)) + (segment (start 148.062 104.4555) (end 147.947 104.3405) (width 0.2) (layer "F.Cu") (net 19) (tstamp 28fe7e15-74ce-4e91-9685-159165ba4102)) + (segment (start 146.104998 102.7035) (end 147.186997 103.785499) (width 0.2) (layer "F.Cu") (net 19) (tstamp 48dd2d5f-e2ea-4a75-860f-964b0aa95642)) + (segment (start 148.064 99.6315) (end 147.947 99.5145) (width 0.2) (layer "F.Cu") (net 19) (tstamp 529fbc5a-7434-46a3-a2a1-4afee083ad82)) + (segment (start 149.225 99.6315) (end 149.545 99.6315) (width 0.2) (layer "F.Cu") (net 19) (tstamp 566ad700-f6bc-4310-bf80-e4bace9e5ec3)) + (segment (start 143.266999 101.153501) (end 142.967 101.4535) (width 0.2) (layer "F.Cu") (net 19) (tstamp 56d8167b-39ca-408b-9e9f-8a16ec4dfca2)) + (segment (start 149.84501 99.93151) (end 149.84501 100.966688) (width 0.2) (layer "F.Cu") (net 19) (tstamp 5c246036-0071-442f-916e-3636668c3ca9)) + (segment (start 142.827 95.5735) (end 142.827 98.8035) (width 0.2) (layer "F.Cu") (net 19) (tstamp 6a27a7d6-2379-4c02-a7c6-1bb05ae640c3)) + (segment (start 142.787 102.7035) (end 142.44 103.0505) (width 0.2) (layer "F.Cu") (net 19) (tstamp 6a9b7fc8-136b-42d3-bd38-3485a8b83c43)) + (segment (start 148.68077 102.035149) (end 148.669121 102.0235) (width 0.2) (layer "F.Cu") (net 19) (tstamp 6bcb6936-7b08-4d58-ab97-63c80f2cdfc1)) + (segment (start 144.545002 101.4535) (end 144.245003 101.153501) (width 0.2) (layer "F.Cu") (net 19) (tstamp 6e874ad8-ba83-4046-b682-08bd9538a215)) + (segment (start 148.669121 102.0235) (end 147.497 102.0235) (width 0.2) (layer "F.Cu") (net 19) (tstamp 75a4d798-dd9d-4f06-97aa-c52a754f5bea)) + (segment (start 146.927 101.4535) (end 144.545002 101.4535) (width 0.2) (layer "F.Cu") (net 19) (tstamp 7624acec-af04-4517-b753-52829a86a4e3)) + (segment (start 142.397599 99.232901) (end 142.967 99.802302) (width 0.2) (layer "F.Cu") (net 19) (tstamp 7c3d7d07-c178-492c-9e30-a01a5b3b233a)) + (segment (start 142.787 102.7035) (end 146.104998 102.7035) (width 0.2) (layer "F.Cu") (net 19) (tstamp 836d3d52-59c9-41b8-93a7-9f0992c22fa2)) + (segment (start 140.081 98.2245) (end 140.509538 98.653038) (width 0.2) (layer "F.Cu") (net 19) (tstamp 885fc0a2-a904-4282-9d02-686ea7faf79b)) + (segment (start 149.737 101.074698) (end 149.737 101.5735) (width 0.2) (layer "F.Cu") (net 19) (tstamp 970096fb-e35d-4127-8c0f-383255ce88b1)) + (segment (start 149.225 104.4555) (end 148.062 104.4555) (width 0.2) (layer "F.Cu") (net 19) (tstamp a1e1c88a-9d2f-47a5-b1f4-9499a7758252)) + (segment (start 142.827 98.8035) (end 142.397599 99.232901) (width 0.2) (layer "F.Cu") (net 19) (tstamp a7cc7021-6a3b-495e-ba15-8898a2738572)) + (segment (start 141.817736 98.653038) (end 142.397599 99.232901) (width 0.2) (layer "F.Cu") (net 19) (tstamp a8edd354-0beb-449a-8244-048803cf04b7)) + (segment (start 142.44 103.0505) (end 140.081 103.0505) (width 0.2) (layer "F.Cu") (net 19) (tstamp ab8c88c4-6e6c-40e2-b2da-5a91b8fde621)) + (segment (start 147.391999 103.785499) (end 147.947 104.3405) (width 0.2) (layer "F.Cu") (net 19) (tstamp b8abdf17-f65f-48e3-b419-93aa657e6307)) + (segment (start 140.509538 98.653038) (end 141.817736 98.653038) (width 0.2) (layer "F.Cu") (net 19) (tstamp c3e4dafd-ad11-4223-898a-0225486c8ae1)) + (segment (start 149.84501 100.966688) (end 149.737 101.074698) (width 0.2) (layer "F.Cu") (net 19) (tstamp d135439e-064f-4a03-b466-0ef486849766)) + (segment (start 147.186997 103.785499) (end 147.391999 103.785499) (width 0.2) (layer "F.Cu") (net 19) (tstamp df6f7a71-77e0-400b-b5c5-e743316f65a8)) + (segment (start 149.275351 102.035149) (end 148.68077 102.035149) (width 0.2) (layer "F.Cu") (net 19) (tstamp e72399ed-bc93-4563-be0f-6a24719fa4a8)) + (segment (start 149.737 101.5735) (end 149.275351 102.035149) (width 0.2) (layer "F.Cu") (net 19) (tstamp f8b34fbb-abcc-4364-9091-67310f130902)) + (segment (start 144.245003 101.153501) (end 143.266999 101.153501) (width 0.2) (layer "F.Cu") (net 19) (tstamp fb528133-9691-45b8-8d4f-03c921342902)) + (via (at 142.787 102.7035) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 36529cc3-fbb8-4f0b-b800-f70341217dba)) + (via (at 142.967 101.4535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 590e3fa6-5a86-43fa-87e9-ddf03d463302)) + (via (at 142.827 95.5735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 9081a3b2-bc88-43da-be62-187f349644c0)) + (segment (start 142.967 101.4535) (end 142.967 102.5235) (width 0.2) (layer "B.Cu") (net 19) (tstamp 222f0d54-8ec0-4ff8-a4a1-48147e69d381)) + (segment (start 142.987 95.7335) (end 142.827 95.5735) (width 0.2) (layer "B.Cu") (net 19) (tstamp 59ec3237-74da-4a02-bd89-4dd92602c767)) + (segment (start 142.967 102.5235) (end 142.787 102.7035) (width 0.2) (layer "B.Cu") (net 19) (tstamp 5e94792c-f508-493a-9b38-a34aabe7185b)) + (segment (start 142.987 96.6495) (end 142.987 95.7335) (width 0.2) (layer "B.Cu") (net 19) (tstamp fa4d42b8-a401-4efa-9300-34b06d4962bb)) + (segment (start 141.859 99.6295) (end 142.179 99.6295) (width 0.2) (layer "F.Cu") (net 20) (tstamp 0637622f-2e76-4a08-b309-06109993102f)) + (segment (start 142.47901 99.92951) (end 142.47901 100.964688) (width 0.2) (layer "F.Cu") (net 20) (tstamp 08b26c95-24d8-4d74-b0a0-6c0edf076ec2)) + (segment (start 141.910198 101.5335) (end 139.727 101.5335) (width 0.2) (layer "F.Cu") (net 20) (tstamp 3328630d-d5f9-44dd-8605-660a29b70921)) + (segment (start 140.581 99.5145) (end 141.744 99.5145) (width 0.2) (layer "F.Cu") (net 20) (tstamp 783827b1-8bb6-46dd-bb07-152798045d88)) + (segment (start 142.179 99.6295) (end 142.47901 99.92951) (width 0.2) (layer "F.Cu") (net 20) (tstamp 871eb90d-f6ba-4a27-8284-bd0769cfa27b)) + (segment (start 141.744 99.5145) (end 141.859 99.6295) (width 0.2) (layer "F.Cu") (net 20) (tstamp e0e0d17d-dd90-4125-b424-34b225b9c520)) + (segment (start 142.47901 100.964688) (end 141.910198 101.5335) (width 0.2) (layer "F.Cu") (net 20) (tstamp e0e1c166-0597-4644-9a0d-f3f88f4fd908)) + (via (at 139.727 101.5335) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 20) (tstamp 624b9f78-b5f3-41fc-83e8-a6ff746aaf00)) + (segment (start 139.727 101.5335) (end 138.521 100.3275) (width 0.2) (layer "B.Cu") (net 20) (tstamp ca0dd727-c2f2-4526-8be4-cedf02684c4f)) + (segment (start 138.521 100.3275) (end 138.191 100.3275) (width 0.2) (layer "B.Cu") (net 20) (tstamp f8838241-aa47-46cc-b3e9-3e44b4c31001)) + (segment (start 147.447 103.0505) (end 148.281887 103.0505) (width 0.2) (layer "F.Cu") (net 21) (tstamp 6aff59b8-601c-4aaa-a080-6313cd2f038e)) + (segment (start 148.281887 103.0505) (end 148.404908 103.173521) (width 0.2) (layer "F.Cu") (net 21) (tstamp db2b4092-8478-4538-8987-790567a41964)) + (via (at 148.404908 103.173521) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 21) (tstamp 577dadff-1764-4e25-8bf6-a1042e139b64)) + (segment (start 148.578929 102.9995) (end 148.404908 103.173521) (width 0.2) (layer "B.Cu") (net 21) (tstamp 5068b6a3-2623-4fe4-8a8e-3c009552ae90)) + (segment (start 149.337 102.9995) (end 148.578929 102.9995) (width 0.2) (layer "B.Cu") (net 21) (tstamp 8d7389a2-8843-4622-9fd7-9d10c4f6072c)) + (segment (start 150.841366 99.592166) (end 150.841366 101.198594) (width 0.2) (layer "F.Cu") (net 22) (tstamp 26ceed25-0006-45f3-ba21-7621d57006b3)) + (segment (start 147.781988 98.559488) (end 149.808688 98.559488) (width 0.2) (layer "F.Cu") (net 22) (tstamp 826b8f4f-04c0-490d-bd86-80ecc4897355)) + (segment (start 147.447 98.2245) (end 147.781988 98.559488) (width 0.2) (layer "F.Cu") (net 22) (tstamp ba5f48d9-3f58-48ed-af1c-6dfddcf5423c)) + (segment (start 149.808688 98.559488) (end 150.841366 99.592166) (width 0.2) (layer "F.Cu") (net 22) (tstamp cd4fd7ae-1e44-4ad4-941f-e3a14e174dbc)) + (via (at 150.841366 101.198594) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 22) (tstamp b378771c-3a4c-41ca-b561-693c6ab92236)) + (segment (start 150.525 100.882228) (end 150.841366 101.198594) (width 0.2) (layer "B.Cu") (net 22) (tstamp 0d54dd51-b4a3-4303-bc64-9bb624c65c6d)) + (segment (start 150.525 100.3275) (end 150.525 100.882228) (width 0.2) (layer "B.Cu") (net 22) (tstamp 356cb7eb-8eca-4235-8292-198d2d418941)) + (segment (start 151.117 106.1135) (end 151.95899 106.95549) (width 0.2) (layer "F.Cu") (net 23) (tstamp 32847fa6-e7fb-4f04-ac7f-39bc7e115a94)) + (segment (start 154.305 109.2815) (end 154.92501 108.66149) (width 0.2) (layer "F.Cu") (net 23) (tstamp 3a6ac6ce-3c77-46c4-a3f5-744bfe1a34a6)) + (segment (start 153.142 104.4555) (end 153.027 104.3405) (width 0.2) (layer "F.Cu") (net 23) (tstamp 56675821-7462-4008-81ed-87c8712b89e7)) + (segment (start 153.027 103.866432) (end 153.228388 103.665044) (width 0.2) (layer "F.Cu") (net 23) (tstamp 5d7e6f59-cc77-4a56-b8ae-8d2b2be1a9a0)) + (segment (start 153.099 109.1665) (end 154.19 109.1665) (width 0.2) (layer "F.Cu") (net 23) (tstamp 6a7b18b2-803e-4277-8c7d-ddfa1aa3214a)) + (segment (start 154.92501 108.66149) (end 154.92501 106.95549) (width 0.2) (layer "F.Cu") (net 23) (tstamp 82c6ccd0-af62-4f80-95f3-e07700693dfe)) + (segment (start 153.027 104.3405) (end 153.027 103.866432) (width 0.2) (layer "F.Cu") (net 23) (tstamp 930bc795-1113-4a16-9ac9-f4eac4f0e872)) + (segment (start 154.92501 105.07551) (end 154.305 104.4555) (width 0.2) (layer "F.Cu") (net 23) (tstamp 9e24b832-3c02-4c13-b29f-b9b8f5fa31ff)) + (segment (start 154.305 104.4555) (end 153.142 104.4555) (width 0.2) (layer "F.Cu") (net 23) (tstamp b8a8c0fd-df03-492e-837e-ae9ad120ada8)) + (segment (start 151.95899 106.95549) (end 154.92501 106.95549) (width 0.2) (layer "F.Cu") (net 23) (tstamp d3c1df8e-fc8d-456f-8157-7ff85443af8e)) + (segment (start 154.19 109.1665) (end 154.305 109.2815) (width 0.2) (layer "F.Cu") (net 23) (tstamp d7f9d1b9-a0c4-4bfe-ad58-f212619df55c)) + (segment (start 154.92501 106.95549) (end 154.92501 105.07551) (width 0.2) (layer "F.Cu") (net 23) (tstamp f269093b-e716-46ad-b585-f4704f59d85f)) + (via (at 153.228388 103.665044) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 23) (tstamp 8e0ff6c7-046e-4c24-a16a-b3d2c62888f2)) + (via (at 151.117 106.1135) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 23) (tstamp bdb6f323-ff48-40b3-bfe4-7383abca3c43)) + (segment (start 150.637 104.8995) (end 150.637 105.6335) (width 0.2) (layer "B.Cu") (net 23) (tstamp 06e50233-8c16-4d1e-9fe7-bfaf68cb5974)) + (segment (start 153.228388 103.702888) (end 153.228388 103.665044) (width 0.2) (layer "B.Cu") (net 23) (tstamp 1d4cca94-3d89-4a67-ba68-b43877a239bd)) + (segment (start 150.637 105.6335) (end 151.117 106.1135) (width 0.2) (layer "B.Cu") (net 23) (tstamp 537fe4f9-c566-49a7-a2ee-8f660cdc855c)) + (segment (start 153.731 104.2055) (end 153.228388 103.702888) (width 0.2) (layer "B.Cu") (net 23) (tstamp 642bae0e-259f-461d-a374-3cae7ce5897c)) + (segment (start 154.051 104.2055) (end 153.731 104.2055) (width 0.2) (layer "B.Cu") (net 23) (tstamp 8de39dbe-ee1c-4683-951f-ae36ce1129d3)) + (segment (start 151.297 98.0735) (end 148.927 98.0735) (width 0.2) (layer "F.Cu") (net 24) (tstamp 1c245df5-9b88-4e99-b791-9b08d5f9f75f)) + (segment (start 152.182999 98.959499) (end 151.297 98.0735) (width 0.2) (layer "F.Cu") (net 24) (tstamp 33526808-a5dd-44ac-b54e-4460573648db)) + (segment (start 153.937 98.9835) (end 153.937 99.2615) (width 0.2) (layer "F.Cu") (net 24) (tstamp 6aaf1274-be98-4b1b-ab58-a3002dab7adb)) + (segment (start 148.927 98.0735) (end 148.747 97.8935) (width 0.2) (layer "F.Cu") (net 24) (tstamp 716d0c86-8928-475a-a739-b78a212993fd)) + (segment (start 153.142 99.6295) (end 153.027 99.5145) (width 0.2) (layer "F.Cu") (net 24) (tstamp 8427c6b2-0199-42e8-9972-e6a53921fa80)) + (segment (start 153.937 99.2615) (end 154.305 99.6295) (width 0.2) (layer "F.Cu") (net 24) (tstamp 9aed8958-0f78-4f1b-aa8e-d6d6cc3b0344)) + (segment (start 153.027 99.5145) (end 152.471999 98.959499) (width 0.2) (layer "F.Cu") (net 24) (tstamp acb77c34-b4a8-4155-8153-f15c3903f906)) + (segment (start 152.471999 98.959499) (end 152.182999 98.959499) (width 0.2) (layer "F.Cu") (net 24) (tstamp c6db651f-65b7-4ba8-8876-ed41a1dec06d)) + (segment (start 154.305 99.6295) (end 153.142 99.6295) (width 0.2) (layer "F.Cu") (net 24) (tstamp e0e433c4-57ba-429d-b758-703176a089ca)) + (via (at 148.747 97.8935) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 24) (tstamp 22e95175-fb46-46eb-a8dc-84954dd92bf3)) + (via (at 153.937 98.9835) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 24) (tstamp a487b5fe-ae4d-4cd9-a4aa-f9b0bc780afb)) + (segment (start 148.747 97.9495) (end 149.225 98.4275) (width 0.2) (layer "B.Cu") (net 24) (tstamp 1613d4c1-f566-41ff-b1d0-e85c4c4d73a8)) + (segment (start 154.051 99.6295) (end 154.051 99.0975) (width 0.2) (layer "B.Cu") (net 24) (tstamp 2ff33bcb-9f4a-4ff0-816b-f053169a1808)) + (segment (start 154.051 99.0975) (end 153.937 98.9835) (width 0.2) (layer "B.Cu") (net 24) (tstamp 663b2b1b-df2f-46f4-a2e3-4c19bd4c6628)) + (segment (start 148.747 97.8935) (end 148.747 97.9495) (width 0.2) (layer "B.Cu") (net 24) (tstamp 87ed8bad-4ec4-4880-b6e6-9505dfbdaf6d)) + (segment (start 139.827 81.0235) (end 139.827 78.643498) (width 0.2) (layer "B.Cu") (net 25) (tstamp 014d5c29-0221-4a94-8afa-b58b63085d32)) + (segment (start 149.987 104.484498) (end 149.987 104.8995) (width 0.2) (layer "B.Cu") (net 25) (tstamp 019b26c1-d654-48ad-afc0-83f5a1257a2b)) + (segment (start 139.308205 78.124703) (end 139.682001 78.498499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 084bda2c-81b9-4cc7-917e-3c78cd918c06)) + (segment (start 143.383 81.149496) (end 143.383 78.6995) (width 0.2) (layer "B.Cu") (net 25) (tstamp 0894c02b-12f3-4c5b-8d47-e7cf5b5ad5d7)) + (segment (start 150.427003 104.274499) (end 150.196999 104.274499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 09a90feb-076c-4125-a3ea-1ebe74cb0500)) + (segment (start 143.637 97.2035) (end 143.708001 97.274501) (width 0.2) (layer "B.Cu") (net 25) (tstamp 09bbfaf4-563e-4ce4-803b-23a35b7d659b)) + (segment (start 146.939 81.0235) (end 146.939 78.5755) (width 0.2) (layer "B.Cu") (net 25) (tstamp 0a108733-8a0d-477f-b8d8-70cc1ae3a47d)) + (segment (start 156.845 91.1835) (end 156.845 88.868498) (width 0.2) (layer "B.Cu") (net 25) (tstamp 0af3731e-0871-4e37-8fa8-fa4f7c62748c)) + (segment (start 157.741001 103.769501) (end 157.741001 96.209501) (width 0.2) (layer "B.Cu") (net 25) (tstamp 0e23acf6-2ed4-45f5-b0e8-d46cc2470423)) + (segment (start 137.036998 93.185498) (end 138.108996 92.1135) (width 0.2) (layer "B.Cu") (net 25) (tstamp 16b00cc7-2536-47e4-9ae4-b721120f4259)) + (segment (start 151.531999 78.498499) (end 151.765 78.7315) (width 0.2) (layer "B.Cu") (net 25) (tstamp 1906e663-030d-43b9-baf2-24c06fa1bfed)) + (segment (start 143.206998 81.991502) (end 143.206998 81.325498) (width 0.2) (layer "B.Cu") (net 25) (tstamp 1a236670-5e02-406e-a541-2ad8e1eb9d79)) + (segment (start 135.001 78.708498) (end 135.584795 78.124703) (width 0.2) (layer "B.Cu") (net 25) (tstamp 1a23bc62-47a5-49a2-89bd-a794c618eac5)) + (segment (start 151.167 102.5035) (end 151.167 103.534502) (width 0.2) (layer "B.Cu") (net 25) (tstamp 1f0e2837-6b9f-4f60-a43d-d8adce95a58c)) + (segment (start 146.887007 78.473491) (end 148.322691 78.473491) (width 0.2) (layer "B.Cu") (net 25) (tstamp 2089a7dc-a2b5-4e4f-8de2-66a4e9a7d1d4)) + (segment (start 135.001 81.0235) (end 135.001 78.708498) (width 0.2) (layer "B.Cu") (net 25) (tstamp 20d6d2f7-bad3-426c-8a0b-b737c3f2f151)) + (segment (start 155.440999 103.053499) (end 155.440999 103.769501) (width 0.2) (layer "B.Cu") (net 25) (tstamp 212dc7cd-d4da-48fe-963e-f51612c6364f)) + (segment (start 138.425014 102.279514) (end 137.653 101.5075) (width 0.2) (layer "B.Cu") (net 25) (tstamp 26ae6b1e-3893-4171-8b15-38c550506826)) + (segment (start 137.036998 93.761502) (end 137.036998 93.185498) (width 0.2) (layer "B.Cu") (net 25) (tstamp 2e5bae1d-ca20-46a3-89f5-6f86a81997cf)) + (segment (start 143.383 82.167504) (end 143.206998 81.991502) (width 0.2) (layer "B.Cu") (net 25) (tstamp 2fbad6eb-01d6-4dcc-9cbd-2f9ad9b58bb6)) + (segment (start 151.765 80.0315) (end 151.765 81.2775) (width 0.2) (layer "B.Cu") (net 25) (tstamp 2fbaf95f-b250-4f0b-94d1-75640de50d17)) + (segment (start 143.206998 81.325498) (end 143.383 81.149496) (width 0.2) (layer "B.Cu") (net 25) (tstamp 384b86a0-6d20-4659-86b5-47db73522c87)) + (segment (start 153.524016 102.023491) (end 153.450684 101.950159) (width 0.2) (layer "B.Cu") (net 25) (tstamp 38b0be27-0933-4811-8c9e-47c246e7dd96)) + (segment (start 143.637 95.495496) (end 143.637 96.6495) (width 0.2) (layer "B.Cu") (net 25) (tstamp 3b07cdb3-6966-47b7-a497-f11cfbb527e5)) + (segment (start 143.383 78.6995) (end 143.181999 78.498499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 3b468f4a-c810-4384-8794-ffc8cd769b34)) + (segment (start 129.413 91.6255) (end 129.413 93.2815) (width 0.2) (layer "B.Cu") (net 25) (tstamp 3c6908f6-0980-4922-b9ed-d522cc29fae1)) + (segment (start 143.181999 78.498499) (end 146.861999 78.498499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 3c6ca2e1-ddfc-4580-b633-c17b352a87ef)) + (segment (start 150.196999 104.274499) (end 149.987 104.484498) (width 0.2) (layer "B.Cu") (net 25) (tstamp 4077b6d9-8327-4386-8dbd-049116306445)) + (segment (start 155.500999 103.829501) (end 157.681001 103.829501) (width 0.2) (layer "B.Cu") (net 25) (tstamp 4191b262-3d5a-4a6d-a116-5a4b793f7d0e)) + (segment (start 156.845 91.504498) (end 158.022001 92.681499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 48943020-e073-4d0e-a30d-8b0d3000f59e)) + (segment (start 151.647009 102.023491) (end 151.167 102.5035) (width 0.2) (layer "B.Cu") (net 25) (tstamp 4a7072a3-38b0-447a-8eeb-c998759d072e)) + (segment (start 146.861999 78.498499) (end 146.887007 78.473491) (width 0.2) (layer "B.Cu") (net 25) (tstamp 505c4b59-c832-4963-96d7-c03597c2c095)) + (segment (start 158.022999 92.681499) (end 158.496 93.1545) (width 0.2) (layer "B.Cu") (net 25) (tstamp 52d27868-ef43-43a1-aaa0-5b4e2204bc93)) + (segment (start 133.223 97.0915) (end 133.223 100.7745) (width 0.2) (layer "B.Cu") (net 25) (tstamp 53fbf5e6-5a21-4922-83c9-571b3e7af6e7)) + (segment (start 148.347699 78.498499) (end 151.531999 78.498499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 5435ea3f-230b-47e2-bec1-1d803f83f35e)) + (segment (start 143.383 90.6755) (end 143.383 89.5275) (width 0.2) (layer "B.Cu") (net 25) (tstamp 5b8e0f6a-91e9-447e-8c1c-7617b323c229)) + (segment (start 139.827 90.6755) (end 139.827 90.1505) (width 0.2) (layer "B.Cu") (net 25) (tstamp 5c352a28-5d6c-4176-860f-cf7b378b51a7)) + (segment (start 158.496 94.356581) (end 157.793081 95.0595) (width 0.2) (layer "B.Cu") (net 25) (tstamp 63d8306a-0f04-47dc-880a-4600f57c5398)) + (segment (start 137.653 101.5075) (end 137.653 98.5395) (width 0.2) (layer "B.Cu") (net 25) (tstamp 63da70cc-6e24-4bda-8e11-bb9df6490374)) + (segment (start 129.413 93.2815) (end 131.191 95.0595) (width 0.2) (layer "B.Cu") (net 25) (tstamp 65196ee4-1850-4bea-994c-c6aad43f8cec)) + (segment (start 148.322691 78.473491) (end 148.347699 78.498499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 6ab5b8d9-c202-49bd-b5c1-2b5262a2d264)) + (segment (start 157.681001 103.829501) (end 157.741001 103.769501) (width 0.2) (layer "B.Cu") (net 25) (tstamp 71a6ebdd-5d91-43f2-8e43-0f4125fcc0f8)) + (segment (start 138.108996 92.1135) (end 139.827 92.1135) (width 0.2) (layer "B.Cu") (net 25) (tstamp 738fc13f-fe0b-4428-bb38-7aec1cbbd38f)) + (segment (start 153.450684 101.950159) (end 152.874682 101.950159) (width 0.2) (layer "B.Cu") (net 25) (tstamp 748c2da9-bd7a-4015-a283-e77a170efbab)) + (segment (start 139.827 92.1135) (end 139.827 90.6755) (width 0.2) (layer "B.Cu") (net 25) (tstamp 74da2a70-51d3-4537-8202-b71ce3380a36)) + (segment (start 151.167 103.534502) (end 150.427003 104.274499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 757a7ab7-dd64-47c2-a4f2-ad959065d7ff)) + (segment (start 139.827 90.1505) (end 140.45 89.5275) (width 0.2) (layer "B.Cu") (net 25) (tstamp 775e6b66-b6cf-474f-bbac-8e0f2c33b744)) + (segment (start 143.383 89.5275) (end 143.383 82.167504) (width 0.2) (layer "B.Cu") (net 25) (tstamp 78849f4c-668d-4ff7-b63d-fd3d9eda35ca)) + (segment (start 143.383 90.6755) (end 143.383 95.241496) (width 0.2) (layer "B.Cu") (net 25) (tstamp 79278070-8d06-44a7-bb24-201d70ef1649)) + (segment (start 154.957 86.980498) (end 154.957 82.3035) (width 0.2) (layer "B.Cu") (net 25) (tstamp 7a66e569-c6fd-4507-ac2d-ed846a889ea6)) + (segment (start 151.765 78.7315) (end 151.765 80.0315) (width 0.2) (layer "B.Cu") (net 25) (tstamp 7acb2e39-428f-4d10-8099-323bacab1c6a)) + (segment (start 139.682001 78.498499) (end 143.181999 78.498499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 847e9f15-507e-4585-9d5a-2a57b6ee4124)) + (segment (start 146.939 78.5755) (end 146.861999 78.498499) (width 0.2) (layer "B.Cu") (net 25) (tstamp 8abe997c-1351-497b-95ca-13b56aaa7c2d)) + (segment (start 147.193 90.1505) (end 146.57 89.5275) (width 0.2) (layer "B.Cu") (net 25) (tstamp 8cf64574-caf7-4bc3-8c43-281dc716c1e6)) + (segment (start 153.524016 102.023491) (end 154.410991 102.023491) (width 0.2) (layer "B.Cu") (net 25) (tstamp 8d75ac39-d1e2-44ba-915d-b036354039fe)) + (segment (start 133.956 101.5075) (end 137.653 101.5075) (width 0.2) (layer "B.Cu") (net 25) (tstamp 8f4ccabd-e82a-4660-ba6c-35f0d7b2473b)) + (segment (start 157.793081 95.0595) (end 156.591 95.0595) (width 0.2) (layer "B.Cu") (net 25) (tstamp 8f4f9a3f-aa3b-4da9-bb5e-7d0d64b807b3)) + (segment (start 146.57 89.5275) (end 143.383 89.5275) (width 0.2) (layer "B.Cu") (net 25) (tstamp 8fd2a0b3-5305-473d-bc27-618aeedb1ab6)) + (segment (start 156.845 88.868498) (end 154.957 86.980498) (width 0.2) (layer "B.Cu") (net 25) (tstamp 913eed58-257d-4485-9386-a584bdd26937)) + (segment (start 155.440999 103.769501) (end 155.500999 103.829501) (width 0.2) (layer "B.Cu") (net 25) (tstamp 921c9180-f511-403f-b711-99b56c861a87)) + (segment (start 152.874682 101.950159) (end 152.80135 102.023491) (width 0.2) (layer "B.Cu") (net 25) (tstamp 9238252f-f700-4c9a-8c8e-dae01733a7cf)) + (segment (start 147.193 90.6755) (end 147.193 90.1505) (width 0.2) (layer "B.Cu") (net 25) (tstamp 92b60067-22b0-4044-8788-91df525148c0)) + (segment (start 135.584795 78.124703) (end 139.308205 78.124703) (width 0.2) (layer "B.Cu") (net 25) (tstamp 93f35495-a583-42bd-9b66-1355ad3a907d)) + (segment (start 149.875 101.2115) (end 151.167 102.5035) (width 0.2) (layer "B.Cu") (net 25) (tstamp 98f453db-ecb3-42e9-83c0-769a029930ac)) + (segment (start 137.653 98.5395) (end 137.541 98.4275) (width 0.2) (layer "B.Cu") (net 25) (tstamp a04e2911-eae6-4854-98b3-be8f0f005d13)) + (segment (start 143.637 96.6495) (end 143.637 97.2035) (width 0.2) (layer "B.Cu") (net 25) (tstamp a22b95d7-1407-4970-9943-2def1f47123c)) + (segment (start 149.875 98.4275) (end 149.875 101.2115) (width 0.2) (layer "B.Cu") (net 25) (tstamp abd7a010-a801-42fe-9971-d78ace1e946b)) + (segment (start 140.45 89.5275) (end 143.383 89.5275) (width 0.2) (layer "B.Cu") (net 25) (tstamp adbee248-7149-4726-9ecb-3017162f3403)) + (segment (start 137.653 108.0135) (end 137.653 107.637798) (width 0.2) (layer "B.Cu") (net 25) (tstamp b57a1a70-8dd3-4dbd-94c4-88742049edd4)) + (segment (start 152.80135 102.023491) (end 151.647009 102.023491) (width 0.2) (layer "B.Cu") (net 25) (tstamp bac4c6b3-1bb4-4a6e-bf9c-fe968501c47c)) + (segment (start 149.247001 97.274501) (end 149.875 97.9025) (width 0.2) (layer "B.Cu") (net 25) (tstamp be76e576-f065-4bed-8b8a-6e4dc3c4df96)) + (segment (start 154.410991 102.023491) (end 155.440999 103.053499) (width 0.2) (layer "B.Cu") (net 25) (tstamp bee2a311-d74f-432f-861f-6b61ba900417)) + (segment (start 139.827 78.643498) (end 139.682001 78.498499) (width 0.2) (layer "B.Cu") (net 25) (tstamp c6a7fa96-9ca8-4b7f-b51b-3747349143d8)) + (segment (start 143.383 95.241496) (end 143.637 95.495496) (width 0.2) (layer "B.Cu") (net 25) (tstamp cdc0eae0-6a82-4c5c-96e2-ef98e549fd00)) + (segment (start 137.541 98.4275) (end 137.541 94.265504) (width 0.2) (layer "B.Cu") (net 25) (tstamp d84f2ead-d9ec-491b-938d-3744422ffde0)) + (segment (start 154.957 82.3035) (end 152.685 80.0315) (width 0.2) (layer "B.Cu") (net 25) (tstamp d858bddb-e6f7-4dba-a7a8-682c82d842e4)) + (segment (start 149.875 97.9025) (end 149.875 98.4275) (width 0.2) (layer "B.Cu") (net 25) (tstamp d9da2ec5-63ba-4c3f-8bf8-c08f9cee8439)) + (segment (start 157.741001 96.209501) (end 156.591 95.0595) (width 0.2) (layer "B.Cu") (net 25) (tstamp dd2317ed-3fb4-4b9e-a1d3-23a390e768e9)) + (segment (start 137.541 94.265504) (end 137.036998 93.761502) (width 0.2) (layer "B.Cu") (net 25) (tstamp de8eb03d-920b-4d10-8a6f-eb6172df3965)) + (segment (start 158.496 93.1545) (end 158.496 94.356581) (width 0.2) (layer "B.Cu") (net 25) (tstamp e3dd366c-1e05-4d52-9eb8-4237bc0bbc5e)) + (segment (start 133.223 100.7745) (end 133.956 101.5075) (width 0.2) (layer "B.Cu") (net 25) (tstamp e5760fa4-7bed-4511-bb40-722deccea23f)) + (segment (start 156.845 91.1835) (end 156.845 91.504498) (width 0.2) (layer "B.Cu") (net 25) (tstamp e5a90995-9c73-42a9-90af-316b19c6c622)) + (segment (start 138.425014 106.865784) (end 138.425014 102.279514) (width 0.2) (layer "B.Cu") (net 25) (tstamp ea5e77cd-2b8f-4041-a623-53032d96b322)) + (segment (start 137.653 107.637798) (end 138.425014 106.865784) (width 0.2) (layer "B.Cu") (net 25) (tstamp fe1619b7-3d0e-4956-9ece-c54b18a80961)) + (segment (start 131.191 95.0595) (end 133.223 97.0915) (width 0.2) (layer "B.Cu") (net 25) (tstamp fe3f4120-9e98-4429-928b-24fe5a6ac966)) + (segment (start 158.022001 92.681499) (end 158.022999 92.681499) (width 0.2) (layer "B.Cu") (net 25) (tstamp feaf8894-573c-4931-95fa-795fce75e040)) + (segment (start 143.708001 97.274501) (end 149.247001 97.274501) (width 0.2) (layer "B.Cu") (net 25) (tstamp ff36baaf-483d-42f5-bae0-4b1fa1b5d919)) + (segment (start 152.685 80.0315) (end 151.765 80.0315) (width 0.2) (layer "B.Cu") (net 25) (tstamp ff906839-4a7f-4054-93fa-7df3e5016f99)) + (segment (start 159.639 83.8835) (end 158.346 83.8835) (width 0.2) (layer "F.Cu") (net 26) (tstamp e12fd35d-618d-4ffd-9faf-7304e2a81475)) + (segment (start 158.346 82.6135) (end 158.346 82.4655) (width 0.2) (layer "F.Cu") (net 27) (tstamp 0bad328e-ec8e-4e7e-9247-c71683b76ee0)) + (segment (start 157.376 83.5835) (end 158.346 82.6135) (width 0.2) (layer "F.Cu") (net 27) (tstamp 154fe727-d839-498b-8ad5-32740aed31a3)) + (segment (start 147.932 95.8215) (end 147.932 95.6735) (width 0.2) (layer "F.Cu") (net 27) (tstamp 164b11dc-fe9f-46da-9e97-d26540dc4c16)) + (segment (start 148.227 95.8215) (end 147.932 95.8215) (width 0.2) (layer "F.Cu") (net 27) (tstamp 1a54a0f2-103a-4725-8d4b-5295a837ff34)) + (segment (start 156.048998 92.554498) (end 155.644988 92.958508) (width 0.2) (layer "F.Cu") (net 27) (tstamp 1eb6be27-3fad-4741-96fb-2a2cda1e1a8e)) + (segment (start 158.346 82.4655) (end 157.361 81.4805) (width 0.2) (layer "F.Cu") (net 27) (tstamp 26122d32-dcf3-4e3d-935c-97cc1e89f81a)) + (segment (start 151.017 97.2535) (end 149.044 97.2535) (width 0.2) (layer "F.Cu") (net 27) (tstamp 26c8a9c0-4158-436e-b791-f50045558869)) + (segment (start 157.361 83.8985) (end 157.361 86.3065) (width 0.2) (layer "F.Cu") (net 27) (tstamp 33d27276-186a-4add-8c04-e5781e09c5ab)) + (segment (start 157.361 86.3065) (end 157.361 91.8185) (width 0.2) (layer "F.Cu") (net 27) (tstamp 3b7e93ec-adbf-43a3-9bcc-fdb2997291c0)) + (segment (start 146.947 94.6885) (end 146.722 94.6885) (width 0.2) (layer "F.Cu") (net 27) (tstamp 4908883a-6614-4dce-9aed-bc4d4c56aa99)) + (segment (start 155.440999 94.231497) (end 155.440999 96.219501) (width 0.2) (layer "F.Cu") (net 27) (tstamp 4d72eb88-cdd3-47dc-a372-e797dc36f57b)) + (segment (start 139.619 96.5955) (end 139.619 95.8215) (width 0.2) (layer "F.Cu") (net 27) (tstamp 527b977d-9510-4097-bfbe-2742e40b1506)) + (segment (start 145.997 95.4135) (end 145.997 98.2235) (width 0.2) (layer "F.Cu") (net 27) (tstamp 52eef0de-182a-44d2-a92e-7de64d1ca6cf)) + (segment (start 141.887 97.2435) (end 141.577 96.9335) (width 0.2) (layer "F.Cu") (net 27) (tstamp 61567cb2-48dc-4947-8df4-5241c9bdd6d5)) + (segment (start 148.539445 96.133945) (end 148.227 95.8215) (width 0.2) (layer "F.Cu") (net 27) (tstamp 67bc4b0e-a1fc-4a02-b1a8-af5021f9aa9b)) + (segment (start 156.625002 92.554498) (end 156.048998 92.554498) (width 0.2) (layer "F.Cu") (net 27) (tstamp 74b388ea-2ef4-4718-9c66-7c43cfb38069)) + (segment (start 141.577 96.9335) (end 139.957 96.9335) (width 0.2) (layer "F.Cu") (net 27) (tstamp 75fa31eb-e3df-4e3a-b28c-38288c922be6)) + (segment (start 149.044 97.2535) (end 148.539445 96.748945) (width 0.2) (layer "F.Cu") (net 27) (tstamp 86487463-ea86-40f2-b164-9334fc19515b)) + (segment (start 155.440999 96.219501) (end 154.327 97.3335) (width 0.2) (layer "F.Cu") (net 27) (tstamp 8c02a041-2f8e-4d24-b9eb-841cc3fd577a)) + (segment (start 139.619 94.7265) (end 139.581 94.6885) (width 0.2) (layer "F.Cu") (net 27) (tstamp b2167b3c-e377-4f39-890c-e1198f783ce2)) + (segment (start 155.644988 92.958508) (end 155.644988 94.027508) (width 0.2) (layer "F.Cu") (net 27) (tstamp b29862f3-42b4-4d51-adf3-92999e1f165f)) + (segment (start 147.932 95.6735) (end 146.947 94.6885) (width 0.2) (layer "F.Cu") (net 27) (tstamp b5f17d1d-f7f7-4807-878e-de561162930f)) + (segment (start 157.361 91.8185) (end 156.625002 92.554498) (width 0.2) (layer "F.Cu") (net 27) (tstamp d1d2877d-adba-41ff-9a3c-0f32090c4308)) + (segment (start 157.376 83.8835) (end 157.376 83.5835) (width 0.2) (layer "F.Cu") (net 27) (tstamp d5c0d513-6190-43f3-ad37-89f60696058c)) + (segment (start 139.619 95.8215) (end 139.619 94.7265) (width 0.2) (layer "F.Cu") (net 27) (tstamp d87ad014-d840-45f6-be28-713149e0810a)) + (segment (start 155.644988 94.027508) (end 155.440999 94.231497) (width 0.2) (layer "F.Cu") (net 27) (tstamp e140549e-349b-4119-826d-614a908050d4)) + (segment (start 157.376 83.8835) (end 157.361 83.8985) (width 0.2) (layer "F.Cu") (net 27) (tstamp e6db0699-3d21-4c18-8808-82801008f7b4)) + (segment (start 148.539445 96.748945) (end 148.539445 96.133945) (width 0.2) (layer "F.Cu") (net 27) (tstamp ec75ddee-e3f4-4cf4-81b1-08a03a3b74db)) + (segment (start 139.957 96.9335) (end 139.619 96.5955) (width 0.2) (layer "F.Cu") (net 27) (tstamp f9afd9ab-fd32-4c71-a83c-26b9c977ca9c)) + (segment (start 146.722 94.6885) (end 145.997 95.4135) (width 0.2) (layer "F.Cu") (net 27) (tstamp fac188bc-8636-4367-985f-e4cf9b221f52)) + (via (at 145.997 98.2235) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 0d65b8fa-f606-4693-abee-7530ae15efd5)) + (via (at 154.327 97.3335) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 2e7b1085-99a4-4636-9281-beae3373369c)) + (via (at 141.887 97.2435) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 5fef950b-c2ff-4dfd-9b6f-7b4ddc497a4d)) + (via (at 151.017 97.2535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp d4a82367-d499-4224-9fbc-b4a05ce87944)) + (segment (start 143.661999 97.924499) (end 142.567999 97.924499) (width 0.2) (layer "B.Cu") (net 27) (tstamp 3891d1b2-e495-46e2-8731-1d8ec57b58d9)) + (segment (start 142.567999 97.924499) (end 141.887 97.2435) (width 0.2) (layer "B.Cu") (net 27) (tstamp 42a71159-2c9c-4994-80c4-10fac27b44b8)) + (segment (start 145.997 98.2235) (end 144.613 98.2235) (width 0.2) (layer "B.Cu") (net 27) (tstamp 7b3ebe0e-5f00-491c-aa45-88e63249db45)) + (segment (start 151.017 97.2535) (end 154.247 97.2535) (width 0.2) (layer "B.Cu") (net 27) (tstamp 7fba993b-133e-46ff-b361-2ff0cc38acf3)) + (segment (start 144.287 98.5495) (end 143.661999 97.924499) (width 0.2) (layer "B.Cu") (net 27) (tstamp b346ff60-458b-4295-a78c-32d792e8b69f)) + (segment (start 144.613 98.2235) (end 144.287 98.5495) (width 0.2) (layer "B.Cu") (net 27) (tstamp d5fd5fe4-d7d6-4612-aa20-ff135dd5ea6f)) + (segment (start 154.247 97.2535) (end 154.327 97.3335) (width 0.2) (layer "B.Cu") (net 27) (tstamp f57a3a22-2e3e-4e8d-ae3b-81b8be70895c)) + (segment (start 136.779 95.8235) (end 135.488 95.8235) (width 0.2) (layer "F.Cu") (net 28) (tstamp 16f9cd9b-70b6-4bc8-a182-4f0431e42735)) + (segment (start 135.488 95.8235) (end 135.486 95.8215) (width 0.2) (layer "F.Cu") (net 28) (tstamp 4710fbfd-21c8-4bb1-9a68-e7973e58ccbc)) + (segment (start 136.777 90.9955) (end 136.779 90.9975) (width 0.2) (layer "F.Cu") (net 29) (tstamp 0bd6d063-a654-4cce-b488-e3d0031a1e73)) + (segment (start 135.486 90.9955) (end 136.777 90.9955) (width 0.2) (layer "F.Cu") (net 29) (tstamp 0c5ea33b-a7c5-4816-a215-0b2c4f98994a)) + (segment (start 136.777 86.1695) (end 136.779 86.1715) (width 0.2) (layer "F.Cu") (net 30) (tstamp 3fc2878e-59dd-4c8e-9b13-b1271b899c57)) + (segment (start 135.486 86.1695) (end 136.777 86.1695) (width 0.2) (layer "F.Cu") (net 30) (tstamp 95ae2ee0-5b37-4b81-816c-790f8a603897)) + (segment (start 141.857 95.8215) (end 141.859 95.8235) (width 0.2) (layer "F.Cu") (net 31) (tstamp 7606f094-903e-4f5c-bca6-f622e1b1d411)) + (segment (start 140.589 95.8215) (end 141.857 95.8215) (width 0.2) (layer "F.Cu") (net 31) (tstamp 8c627347-8b64-4190-9d6f-9ed1996e9e8f)) + (segment (start 149.225 95.8235) (end 149.225 96.5315) (width 0.2) (layer "F.Cu") (net 32) (tstamp 27780854-a3b5-4401-bf03-e5225d42ed8a)) + (segment (start 149.225 96.5315) (end 149.257 96.5635) (width 0.2) (layer "F.Cu") (net 32) (tstamp 7f26d5c9-e60c-46d5-8661-f9d7dd0145db)) + (segment (start 147.814991 96.674491) (end 147.939443 96.674491) (width 0.2) (layer "F.Cu") (net 32) (tstamp 8eb274f4-6f39-4928-a0d7-56a54da2facc)) + (segment (start 146.962 95.8215) (end 147.814991 96.674491) (width 0.2) (layer "F.Cu") (net 32) (tstamp ed97e91c-f763-4d9b-b11a-7f39ff662b10)) + (via (at 147.939443 96.674491) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 32) (tstamp 2caa95fe-dffb-4b0a-bba8-35dc558b49a9)) + (via (at 149.257 96.5635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 32) (tstamp cc05181e-be73-4bb1-a021-12f1b8b09f67)) + (segment (start 149.257 96.5635) (end 148.050434 96.5635) (width 0.2) (layer "B.Cu") (net 32) (tstamp 37574e5f-6bb8-431e-8ccd-0de3f8769997)) + (segment (start 148.050434 96.5635) (end 147.939443 96.674491) (width 0.2) (layer "B.Cu") (net 32) (tstamp c0f5e0b6-3316-492b-b5e8-4e3c4289d917)) + (segment (start 154.305 86.1715) (end 154.305 86.3955) (width 0.2) (layer "F.Cu") (net 33) (tstamp 6903a431-9072-4452-94cc-ab3ef504a2da)) + (segment (start 154.305 86.3955) (end 153.777 86.9235) (width 0.2) (layer "F.Cu") (net 33) (tstamp 7fad0f44-696d-427c-8785-a8861ff24921)) + (segment (start 153.777 86.9235) (end 152.796 86.9235) (width 0.2) (layer "F.Cu") (net 33) (tstamp b1761059-5c1e-4bf0-a917-36efb600c69f)) + (segment (start 152.796 86.9235) (end 152.042 86.1695) (width 0.2) (layer "F.Cu") (net 33) (tstamp c6c3aab1-fce2-44b7-962a-3b9f599c6211)) + (segment (start 152.042 90.9955) (end 152.729989 91.683489) (width 0.2) (layer "F.Cu") (net 34) (tstamp 04256605-10f1-4ab1-9dbb-621c0ba667ea)) + (segment (start 153.619011 91.683489) (end 154.305 90.9975) (width 0.2) (layer "F.Cu") (net 34) (tstamp 2658bb7b-1e69-49bd-9ff0-b043ba764687)) + (segment (start 152.729989 91.683489) (end 153.619011 91.683489) (width 0.2) (layer "F.Cu") (net 34) (tstamp cb99602c-3778-4f94-bbc7-535566ce187b)) + (segment (start 152.042 96.111952) (end 151.677904 96.476048) (width 0.2) (layer "F.Cu") (net 35) (tstamp 5cff59ce-d46f-4519-ad34-baf9cabcf4d5)) + (segment (start 154.305 95.8235) (end 154.305 96.354015) (width 0.2) (layer "F.Cu") (net 35) (tstamp 74a02535-a92c-4499-aece-94f47990faa2)) + (segment (start 154.305 96.354015) (end 154.125231 96.533784) (width 0.2) (layer "F.Cu") (net 35) (tstamp dc3b2d0a-0a0f-4fda-a621-3e72bd45f4a1)) + (segment (start 152.042 95.8215) (end 152.042 96.111952) (width 0.2) (layer "F.Cu") (net 35) (tstamp f5b28cd2-f6c9-4539-884e-b280a18c02de)) + (via (at 151.677904 96.476048) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 9fd4b969-3a8a-4c7e-8891-ebce2e3d1719)) + (via (at 154.125231 96.533784) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp ce4fe3bd-145e-429b-94ce-8d042f6b88d8)) + (segment (start 154.067495 96.476048) (end 154.125231 96.533784) (width 0.2) (layer "B.Cu") (net 35) (tstamp 23c6dd7e-98c9-460c-8b05-392e5294e456)) + (segment (start 151.677904 96.476048) (end 154.067495 96.476048) (width 0.2) (layer "B.Cu") (net 35) (tstamp 440621c9-4e39-423f-8de7-50ab2bcd9f9f)) + (segment (start 156.567 81.8045) (end 157.376 82.6135) (width 0.2) (layer "F.Cu") (net 36) (tstamp 0eca7daa-9414-4a6e-8701-d1a80f8f4dfb)) + (segment (start 158.217 80.7635) (end 156.877 80.7635) (width 0.2) (layer "F.Cu") (net 36) (tstamp 1a13bb19-5dfd-4dac-9e21-8c6b18176810)) + (segment (start 159.727 82.6135) (end 160.25901 82.08149) (width 0.2) (layer "F.Cu") (net 36) (tstamp 80d2b1ac-73a1-415c-a35b-c6b81c8e5a93)) + (segment (start 159.639 82.6135) (end 159.727 82.6135) (width 0.2) (layer "F.Cu") (net 36) (tstamp b125fff3-53d7-4d58-8990-996088091330)) + (segment (start 156.567 81.0735) (end 156.567 81.8045) (width 0.2) (layer "F.Cu") (net 36) (tstamp cb4610d3-26bb-4f2b-8aff-0a949cd6cb4b)) + (segment (start 156.877 80.7635) (end 156.567 81.0735) (width 0.2) (layer "F.Cu") (net 36) (tstamp d18cc4f1-adae-4ee6-b9ef-d4909593aea7)) + (segment (start 160.25901 80.97551) (end 159.547 80.2635) (width 0.2) (layer "F.Cu") (net 36) (tstamp d8b0d85d-5010-4312-925b-c2511ba57db1)) + (segment (start 159.547 80.2635) (end 158.717 80.2635) (width 0.2) (layer "F.Cu") (net 36) (tstamp dfc0f3c7-ee06-4a22-ae31-31834d0efa64)) + (segment (start 158.717 80.2635) (end 158.217 80.7635) (width 0.2) (layer "F.Cu") (net 36) (tstamp f29c6b32-6444-44f1-965f-c8c204c54876)) + (segment (start 160.25901 82.08149) (end 160.25901 80.97551) (width 0.2) (layer "F.Cu") (net 36) (tstamp f395d79f-4281-4d9b-933a-a8ebefd52d22)) + (segment (start 136.777 81.3435) (end 136.779 81.3455) (width 0.2) (layer "F.Cu") (net 37) (tstamp 76000468-c88e-4219-a3f4-b32d5f455ee8)) + (segment (start 135.486 81.3435) (end 136.777 81.3435) (width 0.2) (layer "F.Cu") (net 37) (tstamp c6be2c7e-7e8e-4e1a-a8e4-8144292af123)) + (segment (start 140.568 86.1715) (end 140.566 86.1695) (width 0.2) (layer "F.Cu") (net 38) (tstamp 2c944beb-4d95-49b8-b1c3-6d1fbd4b6c77)) + (segment (start 141.859 86.1715) (end 140.568 86.1715) (width 0.2) (layer "F.Cu") (net 38) (tstamp 9b514c3d-3d11-4ec1-805a-a81345589634)) + (segment (start 141.857 81.3435) (end 141.859 81.3455) (width 0.2) (layer "F.Cu") (net 39) (tstamp 2e9a047c-81ca-40cd-ac69-ecd2c3ff2c9b)) + (segment (start 140.566 81.3435) (end 141.857 81.3435) (width 0.2) (layer "F.Cu") (net 39) (tstamp f2226d18-83ce-46e0-8915-bd401d0c5486)) + (segment (start 149.225 81.3455) (end 149.225 81.686956) (width 0.2) (layer "F.Cu") (net 40) (tstamp 463fde96-6453-4678-8aca-2515f6ce6ea4)) + (segment (start 146.857 81.3435) (end 146.037 82.1635) (width 0.2) (layer "F.Cu") (net 40) (tstamp 61a99b7f-f9ef-4eb1-bd7d-395d432e7345)) + (segment (start 146.962 81.3435) (end 146.857 81.3435) (width 0.2) (layer "F.Cu") (net 40) (tstamp 7e4aac99-2549-40df-bac5-ab18903ef7ea)) + (segment (start 149.225 81.686956) (end 148.837723 82.074233) (width 0.2) (layer "F.Cu") (net 40) (tstamp d01226eb-77d0-4e4e-b1b5-cd5a9313c7c0)) + (via (at 148.837723 82.074233) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 40) (tstamp 09b83c1e-e1aa-4459-bd0a-c84d1ac01d58)) + (via (at 146.037 82.1635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 40) (tstamp 6208ef45-a4fc-4fd8-b2ce-36451959da3f)) + (segment (start 148.626991 81.863501) (end 148.837723 82.074233) (width 0.2) (layer "B.Cu") (net 40) (tstamp 0145faef-593b-48ab-9054-4c786fb69915)) + (segment (start 146.037 82.1635) (end 146.336999 81.863501) (width 0.2) (layer "B.Cu") (net 40) (tstamp 3d34354c-baef-4c6f-8877-8b640dbdcefb)) + (segment (start 146.336999 81.863501) (end 148.626991 81.863501) (width 0.2) (layer "B.Cu") (net 40) (tstamp 7bfeb5f0-2786-4d41-b074-00d39b20ca11)) + (segment (start 148.971 86.1715) (end 148.971 86.2995) (width 0.2) (layer "F.Cu") (net 41) (tstamp 4c5068e2-fdea-4b5f-9a50-7cf90d86d6b3)) + (segment (start 147.58201 86.78951) (end 146.962 86.1695) (width 0.2) (layer "F.Cu") (net 41) (tstamp 824fae86-388e-4b4f-b4b8-275696f3669c)) + (segment (start 148.971 86.2995) (end 148.48099 86.78951) (width 0.2) (layer "F.Cu") (net 41) (tstamp 9aa7b340-e9ec-49ee-82bb-c707767a75d2)) + (segment (start 148.48099 86.78951) (end 147.58201 86.78951) (width 0.2) (layer "F.Cu") (net 41) (tstamp c9b090e2-4d74-454f-9c9e-0cda0e06cfdc)) + (segment (start 153.487 82.3635) (end 153.487 82.1635) (width 0.2) (layer "F.Cu") (net 42) (tstamp 09dbd78b-3a6e-4122-9f32-d608273020e7)) + (segment (start 152.042 82.370718) (end 151.769208 82.64351) (width 0.2) (layer "F.Cu") (net 42) (tstamp 3ca11663-7160-496e-b033-cb41ff7c2335)) + (segment (start 153.487 82.1635) (end 154.305 81.3455) (width 0.2) (layer "F.Cu") (net 42) (tstamp 3de79bad-5540-418f-9404-5c962b264b02)) + (segment (start 152.042 81.3435) (end 152.042 82.370718) (width 0.2) (layer "F.Cu") (net 42) (tstamp a53686a0-7f6b-4964-8f3b-9471ac36981e)) + (via (at 151.769208 82.64351) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp 7e45784c-818f-4652-8c96-061d0115e867)) + (via (at 153.487 82.3635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp 9c9213fb-cc64-4631-9a77-2d1670ceb59f)) + (segment (start 153.20699 82.64351) (end 151.769208 82.64351) (width 0.2) (layer "B.Cu") (net 42) (tstamp 672220a7-5e48-4ca1-a731-fe19154c849e)) + (segment (start 153.487 82.3635) (end 153.20699 82.64351) (width 0.2) (layer "B.Cu") (net 42) (tstamp d5bbd6d6-8a83-43aa-a425-a0b9a6e46fb4)) + (segment (start 129.646 83.8815) (end 129.644 83.8835) (width 0.2) (layer "F.Cu") (net 43) (tstamp d1a6e555-3ab8-4891-a8af-bdd2f58a6635)) + (segment (start 130.937 83.8815) (end 129.646 83.8815) (width 0.2) (layer "F.Cu") (net 43) (tstamp f153cba9-264e-4d38-8fe6-e0a4d8bcb51b)) + (segment (start 128.692 83.8635) (end 129.31201 84.48351) (width 0.2) (layer "F.Cu") (net 44) (tstamp 045600ea-c5aa-438e-9884-55ecf28e4310)) + (segment (start 129.644 82.4495) (end 129.644 82.6135) (width 0.2) (layer "F.Cu") (net 44) (tstamp 08d76185-25de-4811-9829-1bd5bd9d24ce)) + (segment (start 132.743219 87.768738) (end 133.065113 87.446844) (width 0.2) (layer "F.Cu") (net 44) (tstamp 0bb35f04-6d21-44bd-a5cc-f2bdd6e109b6)) + (segment (start 129.73701 84.48351) (end 129.927 84.6735) (width 0.2) (layer "F.Cu") (net 44) (tstamp 145f2725-7766-4985-beeb-157e63a0630d)) + (segment (start 128.752 86.3685) (end 128.657 86.3685) (width 0.2) (layer "F.Cu") (net 44) (tstamp 356d858c-ef5b-4585-b322-9fffec1ba8cb)) + (segment (start 128.657 86.8235) (end 129.602238 87.768738) (width 0.2) (layer "F.Cu") (net 44) (tstamp 460f61e9-e39d-48fb-945d-4b652389b1ab)) + (segment (start 129.602238 87.768738) (end 132.743219 87.768738) (width 0.2) (layer "F.Cu") (net 44) (tstamp 5ccf4f7c-dfe3-411e-9c4d-1ebfbd54c316)) + (segment (start 129.597001 85.633501) (end 129.486999 85.633501) (width 0.2) (layer "F.Cu") (net 44) (tstamp 60678e16-0263-4f6c-b6a6-a7eec2b296a8)) + (segment (start 128.675 81.4805) (end 129.644 82.4495) (width 0.2) (layer "F.Cu") (net 44) (tstamp 71de5f55-ac40-4baa-ba76-28fb81fe7a41)) + (segment (start 128.674 83.8835) (end 128.674 83.9705) (width 0.2) (layer "F.Cu") (net 44) (tstamp 9e6d48b2-329c-437a-baa4-ca9989e865b6)) + (segment (start 129.927 84.6735) (end 129.927 85.303502) (width 0.2) (layer "F.Cu") (net 44) (tstamp ae798bf0-c3be-4c37-ab07-d6d67661ddce)) + (segment (start 129.927 85.303502) (end 129.597001 85.633501) (width 0.2) (layer "F.Cu") (net 44) (tstamp bc754efa-7b48-4f02-9cfe-6c56c7571915)) + (segment (start 128.657 86.3685) (end 128.657 86.8235) (width 0.2) (layer "F.Cu") (net 44) (tstamp d615f3b3-9473-4785-92e4-9a41f8ad821e)) + (segment (start 129.644 82.6135) (end 129.644 82.9115) (width 0.2) (layer "F.Cu") (net 44) (tstamp d942e56f-a096-4504-8b0a-bdc51967352f)) + (segment (start 129.644 82.9115) (end 128.692 83.8635) (width 0.2) (layer "F.Cu") (net 44) (tstamp dbb94f62-0527-41fb-b1ce-2f3edc02b68f)) + (segment (start 129.31201 84.48351) (end 129.73701 84.48351) (width 0.2) (layer "F.Cu") (net 44) (tstamp dcb8efa9-bfc6-4b31-9fbd-d393e3ae9bbb)) + (segment (start 129.486999 85.633501) (end 128.752 86.3685) (width 0.2) (layer "F.Cu") (net 44) (tstamp e6358620-37c0-4592-8540-9f491ac425a9)) + (via (at 133.065113 87.446844) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp c72e7bf6-6355-4512-97ef-c299f35a2cb9)) + (segment (start 142.733 88.2505) (end 141.556 87.0735) (width 0.2) (layer "B.Cu") (net 44) (tstamp 172d8aac-8979-447f-a029-9e2779966188)) + (segment (start 141.556 87.0735) (end 133.438457 87.0735) (width 0.2) (layer "B.Cu") (net 44) (tstamp 4ba0fddd-0a3a-45da-9980-51a9b95dbfff)) + (segment (start 133.438457 87.0735) (end 133.065113 87.446844) (width 0.2) (layer "B.Cu") (net 44) (tstamp 7dc16f3d-37cb-4fdc-a86e-5483c0e5675f)) + (segment (start 142.733 88.7755) (end 142.733 88.2505) (width 0.2) (layer "B.Cu") (net 44) (tstamp a5346acf-f0b4-4c38-a1b3-d8d97d2c6b5e)) + (segment (start 128.674 82.6135) (end 128.627006 82.6135) (width 0.2) (layer "F.Cu") (net 45) (tstamp 0319f76e-444e-482e-8873-a805375a404f)) + (segment (start 130.429 83.1235) (end 130.376982 83.1235) (width 0.2) (layer "F.Cu") (net 45) (tstamp 141e7046-6bfd-4b0d-8c2c-ac7a3d7c6961)) + (segment (start 130.937 82.6155) (end 130.429 83.1235) (width 0.2) (layer "F.Cu") (net 45) (tstamp a15776b4-8d07-42e2-82a1-69f196686643)) + (segment (start 128.627006 82.6135) (end 128.08701 83.153496) (width 0.2) (layer "F.Cu") (net 45) (tstamp b6d1ece8-0ee9-4a7e-ab5d-9fbbb46df26d)) + (via (at 128.08701 83.153496) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 45) (tstamp 45f6ac42-15d1-40f1-994d-fb813f50b1ad)) + (via (at 130.376982 83.1235) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 45) (tstamp e27310e9-5f94-4097-8464-eab1c9237720)) + (segment (start 128.117006 83.1235) (end 128.08701 83.153496) (width 0.2) (layer "B.Cu") (net 45) (tstamp 053abfe8-f0c3-4307-a3e0-b8f2800edb09)) + (segment (start 130.376982 83.1235) (end 128.117006 83.1235) (width 0.2) (layer "B.Cu") (net 45) (tstamp 7e05dc92-68d9-4712-92a5-96eba3e821c1)) + (segment (start 158.034011 96.156489) (end 158.034011 93.708521) (width 0.2) (layer "F.Cu") (net 46) (tstamp 0168ac6b-3b7a-456b-9e5c-dee06fc51805)) + (segment (start 158.034011 93.708521) (end 157.60699 93.2815) (width 0.2) (layer "F.Cu") (net 46) (tstamp 140a540c-7232-41b8-bd88-f2c1d87d5691)) + (segment (start 156.591 97.5995) (end 158.034011 96.156489) (width 0.2) (layer "F.Cu") (net 46) (tstamp 5ec00015-b1a8-4eaa-8cb2-8063a0b81b8f)) + (via (at 157.60699 93.2815) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp e532b2d2-4baf-4401-b256-e94808c0312e)) + (segment (start 155.377 90.1015) (end 155.377 91.856764) (width 0.2) (layer "B.Cu") (net 46) (tstamp 471cb8e4-9f07-48e9-91c9-efe3448972b2)) + (segment (start 156.195 89.2835) (end 155.377 90.1015) (width 0.2) (layer "B.Cu") (net 46) (tstamp 6078a078-22e1-4f48-8f13-b19d03439d24)) + (segment (start 155.377 91.856764) (end 156.801736 93.2815) (width 0.2) (layer "B.Cu") (net 46) (tstamp bf60d8f7-5383-411f-820b-89b17f2080e6)) + (segment (start 156.801736 93.2815) (end 157.60699 93.2815) (width 0.2) (layer "B.Cu") (net 46) (tstamp e31b4194-1643-4dcb-9dd0-690720330a66)) + (segment (start 158.361 81.4805) (end 159.526 81.4805) (width 0.2) (layer "F.Cu") (net 47) (tstamp 0ce2f1da-25ec-4403-855c-b76a55e8db00)) + (segment (start 157.861 85.0165) (end 158.414 85.0165) (width 0.2) (layer "F.Cu") (net 47) (tstamp 2f033db6-e37c-4273-bf72-fa5fef799156)) + (segment (start 157.861 90.4475) (end 158.587 91.1735) (width 0.2) (layer "F.Cu") (net 47) (tstamp 63b8f819-52d4-483d-89b5-bc46c2e0f1d7)) + (segment (start 158.898 80.9435) (end 158.361 81.4805) (width 0.2) (layer "F.Cu") (net 47) (tstamp 782714a8-848d-4cb5-8820-3c5eb2376009)) + (segment (start 158.414 85.0165) (end 158.457 84.9735) (width 0.2) (layer "F.Cu") (net 47) (tstamp 80b0d538-01bb-4e44-9f26-76c5e2f26e62)) + (segment (start 157.861 85.0165) (end 157.861 90.4475) (width 0.2) (layer "F.Cu") (net 47) (tstamp 98c1b5d2-6f78-4cce-81f7-5a2f156c0efa)) + (segment (start 159.526 81.4805) (end 159.639 81.5935) (width 0.2) (layer "F.Cu") (net 47) (tstamp d4bfe306-1d45-4acb-91b7-08e675f53aeb)) + (segment (start 158.937 80.9435) (end 158.898 80.9435) (width 0.2) (layer "F.Cu") (net 47) (tstamp fa6b1500-7e8c-449c-a654-b5dfff1dfed4)) + (via (at 158.457 84.9735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp 48dceeae-ad91-47dc-894b-cc30b9451289)) + (via (at 158.937 80.9435) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp 918363be-572e-4833-8c3c-6688582713c5)) + (via (at 158.587 91.1735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp e7642d78-0ca1-40b3-a17e-e69573341022)) + (segment (start 157.505 91.1735) (end 157.495 91.1835) (width 0.2) (layer "B.Cu") (net 47) (tstamp 071354c7-689f-4b7a-8f01-104b813f9e5c)) + (segment (start 158.457 84.9735) (end 158.937 84.4935) (width 0.2) (layer "B.Cu") (net 47) (tstamp 67d726de-95e0-44ed-8cdd-12dccb06eddd)) + (segment (start 158.587 91.1735) (end 157.505 91.1735) (width 0.2) (layer "B.Cu") (net 47) (tstamp a10d04f3-e979-462e-a2a4-ccd546e845e8)) + (segment (start 158.937 84.4935) (end 158.937 80.9435) (width 0.2) (layer "B.Cu") (net 47) (tstamp da3f9199-4cf5-4baa-a0b6-422a81a717ef)) + (segment (start 135.001 88.5725) (end 135.001 87.7495) (width 0.2) (layer "F.Cu") (net 48) (tstamp 03b8c88b-9d3d-4c3c-bd41-d28fb25f4de9)) + (segment (start 137.527 84.7335) (end 136.54 83.7465) (width 0.2) (layer "F.Cu") (net 48) (tstamp 1301c632-ce65-4c05-88e1-447bbbd8b8b0)) + (segment (start 137.017 87.0235) (end 137.527 86.5135) (width 0.2) (layer "F.Cu") (net 48) (tstamp 21ffc352-a941-4875-a09e-820a3ee36890)) + (segment (start 135.076 93.4735) (end 135.001 93.3985) (width 0.2) (layer "F.Cu") (net 48) (tstamp 2731ac2f-67c6-4986-82fd-1ffd5a203c56)) + (segment (start 135.001 87.7495) (end 135.727 87.0235) (width 0.2) (layer "F.Cu") (net 48) (tstamp 3ed28058-bde7-4434-8ca4-ccdd7c82fc57)) + (segment (start 134.601 88.5725) (end 135.001 88.5725) (width 0.2) (layer "F.Cu") (net 48) (tstamp 40996abf-5f6b-4ab4-a5e1-d3794e8fe47f)) + (segment (start 133.737 91.3635) (end 133.737 89.4365) (width 0.2) (layer "F.Cu") (net 48) (tstamp 45abcb91-b9ff-4704-9296-96203f39af57)) + (segment (start 133.737 89.4365) (end 134.601 88.5725) (width 0.2) (layer "F.Cu") (net 48) (tstamp 6e3b23ed-49dd-415d-aca5-8391af6aab2e)) + (segment (start 136.54 83.7465) (end 135.001 83.7465) (width 0.2) (layer "F.Cu") (net 48) (tstamp 72e03d0c-a5dc-41ff-99fb-ec9aef86d65c)) + (segment (start 135.727 87.0235) (end 137.017 87.0235) (width 0.2) (layer "F.Cu") (net 48) (tstamp a20e6f29-4bb5-4773-8cfd-d50a15c162e1)) + (segment (start 137.527 86.5135) (end 137.527 84.7335) (width 0.2) (layer "F.Cu") (net 48) (tstamp ab3d8365-afd7-491b-9faf-64d0e458a0c2)) + (segment (start 135.001 93.3985) (end 135.001 92.6275) (width 0.2) (layer "F.Cu") (net 48) (tstamp ba0ce493-7c20-4172-91d3-c17d605e78d8)) + (segment (start 135.001 92.6275) (end 133.737 91.3635) (width 0.2) (layer "F.Cu") (net 48) (tstamp d9376cc2-a621-456b-8339-8f44c1c8d6c6)) + (segment (start 137.707 93.4735) (end 135.076 93.4735) (width 0.2) (layer "F.Cu") (net 48) (tstamp f90c6a93-99d6-4885-9a81-6fb61fe8bb8c)) + (via (at 137.707 93.4735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 0036ae59-0110-4200-9a22-10b89758924c)) + (segment (start 140.477 92.0435) (end 139.047 93.4735) (width 0.2) (layer "B.Cu") (net 48) (tstamp 441d9e8d-e8e5-4c5b-97c2-8bb9674d7560)) + (segment (start 140.477 90.6755) (end 140.477 92.0435) (width 0.2) (layer "B.Cu") (net 48) (tstamp 8e613c03-d9c8-4d5d-ac08-722f07690a7e)) + (segment (start 139.047 93.4735) (end 137.707 93.4735) (width 0.2) (layer "B.Cu") (net 48) (tstamp b1554f5b-39a7-475a-855b-d46130ce5c2c)) + (segment (start 140.696 94.8035) (end 140.581 94.6885) (width 0.2) (layer "F.Cu") (net 49) (tstamp 0ef14163-3043-4c6b-bd03-6f65a78e1694)) + (segment (start 139.846001 93.953501) (end 139.640999 93.953501) (width 0.2) (layer "F.Cu") (net 49) (tstamp 430146c7-af40-42fc-9a09-2b0baeb6b7fd)) + (segment (start 141.859 94.8035) (end 140.696 94.8035) (width 0.2) (layer "F.Cu") (net 49) (tstamp 450c5ae8-7f13-478b-8c88-c952d1a6fb25)) + (segment (start 140.581 94.6885) (end 139.846001 93.953501) (width 0.2) (layer "F.Cu") (net 49) (tstamp 61166110-b689-480f-a5c1-33828ab69a01)) + (segment (start 138.467 92.779502) (end 138.467 88.6535) (width 0.2) (layer "F.Cu") (net 49) (tstamp 98165cf0-da89-4f8b-b73b-0fb31aa17578)) + (segment (start 138.467 88.6535) (end 139.347 87.7735) (width 0.2) (layer "F.Cu") (net 49) (tstamp a43da6e4-f0d6-4d9b-a81c-017065faa6d7)) + (segment (start 139.640999 93.953501) (end 138.467 92.779502) (width 0.2) (layer "F.Cu") (net 49) (tstamp ae6f6f10-b627-4858-8c0a-6de2f7c6e5e0)) + (via (at 139.347 87.7735) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 80dfa935-5e1c-4269-93b7-fd70f51fc4dd)) + (segment (start 139.347 87.7735) (end 139.347 88.6055) (width 0.2) (layer "B.Cu") (net 49) (tstamp 22d64d39-2031-4152-8359-38dad2bad20e)) + (segment (start 139.347 88.6055) (end 139.177 88.7755) (width 0.2) (layer "B.Cu") (net 49) (tstamp c6c6a4ce-321c-46a9-978e-a8e5ad103fca)) + (segment (start 144.305001 92.021501) (end 144.305001 92.565499) (width 0.2) (layer "F.Cu") (net 50) (tstamp 2a433684-0ec8-4c3e-91cd-03c746193715)) + (segment (start 147.006999 93.953501) (end 146.201886 93.148388) (width 0.2) (layer "F.Cu") (net 50) (tstamp 2f21b8ce-82a1-49ff-ae85-b6d05ffe13f5)) + (segment (start 143.523001 78.873499) (end 140.128001 78.873499) (width 0.2) (layer "F.Cu") (net 50) (tstamp 2fa95eeb-5c67-4b2d-85e7-273b3faf0cc5)) + (segment (start 147.947 94.6885) (end 147.212001 93.953501) (width 0.2) (layer "F.Cu") (net 50) (tstamp 434542af-afbe-446a-82c2-bc8c1820033f)) + (segment (start 144.305001 79.655499) (end 143.523001 78.873499) (width 0.2) (layer "F.Cu") (net 50) (tstamp 48856fbb-5244-4b89-9877-9672d5ba51f8)) + (segment (start 147.212001 93.953501) (end 147.006999 93.953501) (width 0.2) (layer "F.Cu") (net 50) (tstamp 49601df2-54fc-4fd7-8cb4-59b23286a5a7)) + (segment (start 149.225 80.3255) (end 148.062 80.3255) (width 0.2) (layer "F.Cu") (net 50) (tstamp 6889fcd2-25f4-4a63-8b1c-21c568fa648c)) + (segment (start 144.001011 92.021501) (end 143.98301 92.0035) (width 0.2) (layer "F.Cu") (net 50) (tstamp 6c653c1d-7f53-46d5-9489-60d94ed9b0cd)) + (segment (start 144.477001 81.405499) (end 144.477001 81.981501) (width 0.2) (layer "F.Cu") (net 50) (tstamp 6cb50893-530f-4e4d-bf7e-9f72eeed31cd)) + (segment (start 144.305001 88.789503) (end 144.305001 92.021501) (width 0.2) (layer "F.Cu") (net 50) (tstamp 75f14d28-240b-46ed-bf0e-589355e9f341)) + (segment (start 144.256999 88.741501) (end 144.305001 88.789503) (width 0.2) (layer "F.Cu") (net 50) (tstamp 77305188-e29b-447c-be18-52fc507f8884)) + (segment (start 144.305001 79.655499) (end 144.146998 79.813502) (width 0.2) (layer "F.Cu") (net 50) (tstamp 77a99582-9a28-4d12-b87e-1342365b5c4f)) + (segment (start 148.062 80.3255) (end 147.947 80.2105) (width 0.2) (layer "F.Cu") (net 50) (tstamp 7c287b38-b25b-44c9-8065-e4955a2e547d)) + (segment (start 144.256999 88.165499) (end 144.256999 88.741501) (width 0.2) (layer "F.Cu") (net 50) (tstamp 7fa0f048-8033-41c0-b2e7-52bc57a3b6fb)) + (segment (start 144.305001 92.565499) (end 143.472 93.3985) (width 0.2) (layer "F.Cu") (net 50) (tstamp 8058833f-95ab-424a-b261-005ac44c4e1d)) + (segment (start 143.472 93.3985) (end 140.081 93.3985) (width 0.2) (layer "F.Cu") (net 50) (tstamp 93f99b19-c9fa-4b35-bdf2-baffe7642d9f)) + (segment (start 144.146998 81.075496) (end 144.477001 81.405499) (width 0.2) (layer "F.Cu") (net 50) (tstamp 9e5cc833-46c1-4e36-b237-1fb220fff25a)) + (segment (start 149.225 94.8035) (end 148.062 94.8035) (width 0.2) (layer "F.Cu") (net 50) (tstamp a72962f0-15a1-41e1-bf65-846cca21445d)) + (segment (start 146.201886 93.148388) (end 146.201886 92.036583) (width 0.2) (layer "F.Cu") (net 50) (tstamp b9c129d0-c091-403b-ac7e-5c828ef05d9f)) + (segment (start 147.947 80.2105) (end 147.391999 79.655499) (width 0.2) (layer "F.Cu") (net 50) (tstamp c0b4eab9-9a07-4f2e-8783-4e72473726e1)) + (segment (start 144.477001 81.981501) (end 144.305001 82.153501) (width 0.2) (layer "F.Cu") (net 50) (tstamp c40182f3-b613-4929-9d92-6a9f558a85e2)) + (segment (start 140.128001 78.873499) (end 140.081 78.9205) (width 0.2) (layer "F.Cu") (net 50) (tstamp d01f64af-e28d-4a04-b309-3061231ddb10)) + (segment (start 144.146998 79.813502) (end 144.146998 81.075496) (width 0.2) (layer "F.Cu") (net 50) (tstamp dfb4b2ce-8c12-49f5-b9eb-d6a2562f9e29)) + (segment (start 144.305001 92.021501) (end 144.001011 92.021501) (width 0.2) (layer "F.Cu") (net 50) (tstamp ec2e9945-65b8-4143-92e9-496926b754b8)) + (segment (start 147.391999 79.655499) (end 144.305001 79.655499) (width 0.2) (layer "F.Cu") (net 50) (tstamp ed74a13a-3778-4275-a7ed-070a141ace29)) + (segment (start 148.062 94.8035) (end 147.947 94.6885) (width 0.2) (layer "F.Cu") (net 50) (tstamp f0a2597a-56fe-4b3e-9d0c-0dabc9fa80e1)) + (segment (start 144.305001 88.117497) (end 144.256999 88.165499) (width 0.2) (layer "F.Cu") (net 50) (tstamp f8935271-9c3f-4e48-9887-1a8f142ff0eb)) + (segment (start 144.305001 82.153501) (end 144.305001 88.117497) (width 0.2) (layer "F.Cu") (net 50) (tstamp ffcda513-dfd1-40a8-b0f9-90076ffc2058)) + (via (at 146.201886 92.036583) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 814de08a-8a36-49b3-bfdc-50dcf696cd1d)) + (via (at 143.98301 92.0035) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp e8b06b6d-441a-4497-93fd-67685a697b92)) + (segment (start 144.033 91.95351) (end 143.98301 92.0035) (width 0.2) (layer "B.Cu") (net 50) (tstamp 1e7a702f-cec6-4ce3-be13-b1f7642de03b)) + (segment (start 144.033 90.6755) (end 144.840803 90.6755) (width 0.2) (layer "B.Cu") (net 50) (tstamp af9900fa-ded9-48c0-ad0c-eca023fd9334)) + (segment (start 144.840803 90.6755) (end 146.201886 92.036583) (width 0.2) (layer "B.Cu") (net 50) (tstamp ca095cf9-1a74-49db-a250-f31f9343aaa6)) + (segment (start 144.033 90.6755) (end 144.033 91.95351) (width 0.2) (layer "B.Cu") (net 50) (tstamp f1d15e29-12ce-4a6e-bc59-7521488e7360)) + (segment (start 147.487001 93.358499) (end 147.487001 88.393511) (width 0.2) (layer "F.Cu") (net 51) (tstamp 3ad7b373-5f3e-43b0-a4a3-0d99c453e531)) + (segment (start 147.487001 88.393511) (end 146.997 87.90351) (width 0.2) (layer "F.Cu") (net 51) (tstamp c5f9b6a4-64a6-4989-889e-80fd967abe64)) + (segment (start 147.447 93.3985) (end 147.487001 93.358499) (width 0.2) (layer "F.Cu") (net 51) (tstamp e1f287cd-2446-4c07-9940-26a6cc3996b3)) + (via (at 146.997 87.90351) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 2ca5caa6-7128-424b-8d75-8b606cd3f30d)) + (segment (start 146.543 88.7755) (end 146.997 88.3215) (width 0.2) (layer "B.Cu") (net 51) (tstamp a6659a67-f3d8-48d7-9825-4a82b37af8c6)) + (segment (start 146.997 88.3215) (end 146.997 87.90351) (width 0.2) (layer "B.Cu") (net 51) (tstamp dfe2e0eb-00fb-4391-9005-954ace5c3d8b)) + (segment (start 153.142 94.8035) (end 153.027 94.6885) (width 0.2) (layer "F.Cu") (net 52) (tstamp 04607587-8bca-4ffa-98af-67c34ee38817)) + (segment (start 151.677 92.0835) (end 155.097 92.0835) (width 0.2) (layer "F.Cu") (net 52) (tstamp 074395ca-fe22-4982-89c4-7aeea8dd6fd8)) + (segment (start 155.097 90.7695) (end 155.097 92.0835) (width 0.2) (layer "F.Cu") (net 52) (tstamp 0d687e45-5d0f-4511-9098-332a4ba3c749)) + (segment (start 154.19 85.0365) (end 154.305 85.1515) (width 0.2) (layer "F.Cu") (net 52) (tstamp 0f9162f6-40b4-46a0-a859-82eba36b6b8e)) + (segment (start 154.305 89.9775) (end 155.097 90.7695) (width 0.2) (layer "F.Cu") (net 52) (tstamp 1a2ce3db-1cd2-4d37-ba67-237f56996737)) + (segment (start 154.305 89.9775) (end 153.142 89.9775) (width 0.2) (layer "F.Cu") (net 52) (tstamp 260e82ce-3a50-4025-be40-01d2742eeca5)) + (segment (start 154.92501 89.35749) (end 154.305 89.9775) (width 0.2) (layer "F.Cu") (net 52) (tstamp 4c027aec-a350-4c86-884b-b9e1252fe686)) + (segment (start 155.097 94.0115) (end 154.305 94.8035) (width 0.2) (layer "F.Cu") (net 52) (tstamp 4d56164e-73df-4343-9c58-e071525b744c)) + (segment (start 154.475 85.1515) (end 154.92501 85.60151) (width 0.2) (layer "F.Cu") (net 52) (tstamp 6b13cbcf-4f3f-4357-8516-543b0833fe6e)) + (segment (start 154.305 85.1515) (end 154.475 85.1515) (width 0.2) (layer "F.Cu") (net 52) (tstamp 921a6eb8-b427-4522-a69a-7d80d1a2b029)) + (segment (start 151.537 92.2235) (end 151.677 92.0835) (width 0.2) (layer "F.Cu") (net 52) (tstamp 93e7d1cc-2dff-4afe-b47f-12ff1293c243)) + (segment (start 154.305 94.8035) (end 153.142 94.8035) (width 0.2) (layer "F.Cu") (net 52) (tstamp abcf0604-86ee-44b5-aba8-a5e543b4cabd)) + (segment (start 154.92501 85.60151) (end 154.92501 89.35749) (width 0.2) (layer "F.Cu") (net 52) (tstamp af54d302-6661-4d1b-b2c0-fe1cdcb18769)) + (segment (start 155.097 92.0835) (end 155.097 94.0115) (width 0.2) (layer "F.Cu") (net 52) (tstamp cfe7c4e6-e3f8-4d6e-b69e-da3e1fa895c2)) + (segment (start 153.027 85.0365) (end 154.19 85.0365) (width 0.2) (layer "F.Cu") (net 52) (tstamp ddcaa92b-e2e8-4cd5-8196-9cf793d43113)) + (segment (start 153.142 89.9775) (end 153.027 89.8625) (width 0.2) (layer "F.Cu") (net 52) (tstamp e43b6a65-4a35-4306-b6f4-aa60a8f15ff1)) + (via (at 151.537 92.2235) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 2eb098bb-499b-4557-9301-ede09c478e3a)) + (segment (start 147.843 90.6755) (end 149.989 90.6755) (width 0.2) (layer "B.Cu") (net 52) (tstamp 1a0a3cec-c086-4887-b5fc-e8cc1d8f4c27)) + (segment (start 149.989 90.6755) (end 151.537 92.2235) (width 0.2) (layer "B.Cu") (net 52) (tstamp ea5583cb-9b09-45cc-a58c-9f72e04bc63b)) + (segment (start 136.779 80.3255) (end 135.616 80.3255) (width 0.2) (layer "F.Cu") (net 53) (tstamp 7939b900-180d-41aa-92c4-7379c3722c2e)) + (segment (start 135.616 80.3255) (end 135.501 80.2105) (width 0.2) (layer "F.Cu") (net 53) (tstamp c951c79a-cb56-4880-b6a7-8d6ca68fd4d9)) + (segment (start 135.001 78.9205) (end 136.727458 78.9205) (width 0.2) (layer "F.Cu") (net 54) (tstamp 50b9a2ac-8a03-4a80-8543-9d29f7ba99ac)) + (segment (start 136.727458 78.9205) (end 136.931677 79.124719) (width 0.2) (layer "F.Cu") (net 54) (tstamp a389c3d9-94e2-479a-94b0-c872219beee9)) + (via (at 136.931677 79.124719) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp 58de69cd-ff96-41c7-9f99-62553a3c8e28)) + (segment (start 135.651 82.2195) (end 135.511 82.3595) (width 0.2) (layer "B.Cu") (net 54) (tstamp 229f7caf-f9df-47d3-a27f-acc10d9698e6)) + (segment (start 135.651 80.405396) (end 136.931677 79.124719) (width 0.2) (layer "B.Cu") (net 54) (tstamp 230df00b-e09f-4592-a0ec-a38b22c620f2)) + (segment (start 135.651 81.0235) (end 135.651 80.405396) (width 0.2) (layer "B.Cu") (net 54) (tstamp 7a937f4c-bffe-4c89-96d3-7f42f0189729)) + (segment (start 135.651 81.0235) (end 135.651 82.2195) (width 0.2) (layer "B.Cu") (net 54) (tstamp daa746e1-0a87-4e0f-9695-e4ab3114e01f)) + (segment (start 133.737 79.5635) (end 135.947 79.5635) (width 0.2) (layer "F.Cu") (net 55) (tstamp 12939bee-37fe-45c0-90f8-ce1ea30f4cf3)) + (segment (start 136.13899 79.75549) (end 137.144188 79.75549) (width 0.2) (layer "F.Cu") (net 55) (tstamp 231d8727-f7d3-4b78-8958-41c00d8b061b)) + (segment (start 137.144188 79.75549) (end 137.717 80.328302) (width 0.2) (layer "F.Cu") (net 55) (tstamp 263dcc2e-e2a0-4657-ba82-63022fe506f9)) + (segment (start 137.682 82.4485) (end 139.714999 84.481499) (width 0.2) (layer "F.Cu") (net 55) (tstamp 277cc18e-e45b-4727-a6bf-5e43c706b7f2)) + (segment (start 135.947 79.5635) (end 136.13899 79.75549) (width 0.2) (layer "F.Cu") (net 55) (tstamp 47fabb7c-e6f7-4961-a979-5e5217d8301c)) + (segment (start 139.714999 84.481499) (end 140.025999 84.481499) (width 0.2) (layer "F.Cu") (net 55) (tstamp 68e744e7-aba9-4ac7-990e-ebe3b39d3f96)) + (segment (start 137.717 80.328302) (end 137.717 82.4135) (width 0.2) (layer "F.Cu") (net 55) (tstamp 6eb80cf0-2b87-4309-a738-787f90165714)) + (segment (start 140.581 85.0365) (end 141.744 85.0365) (width 0.2) (layer "F.Cu") (net 55) (tstamp 7d65b67f-3431-4f00-a126-e9ef6320ea22)) + (segment (start 140.025999 84.481499) (end 140.581 85.0365) (width 0.2) (layer "F.Cu") (net 55) (tstamp aae9adb7-e31c-416d-ad66-8a6a6a962a06)) + (segment (start 137.717 82.4135) (end 137.682 82.4485) (width 0.2) (layer "F.Cu") (net 55) (tstamp d409b53c-7f6c-4f72-9184-e3e27049df2b)) + (segment (start 133.327 79.1535) (end 133.737 79.5635) (width 0.2) (layer "F.Cu") (net 55) (tstamp db8f2153-683d-4885-b1e9-e78811049e2f)) + (segment (start 141.744 85.0365) (end 141.859 85.1515) (width 0.2) (layer "F.Cu") (net 55) (tstamp f1cb834c-8bc6-4790-acf0-1fb1c5aa3c5a)) + (via (at 133.327 79.1535) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 55) (tstamp b4163a41-6178-4369-b91b-8f6a8b8f4037)) + (segment (start 133.357 79.1235) (end 133.327 79.1535) (width 0.2) (layer "B.Cu") (net 55) (tstamp 4a493064-0d50-46e2-9743-313127508ea7)) + (segment (start 134.351 79.1235) (end 133.357 79.1235) (width 0.2) (layer "B.Cu") (net 55) (tstamp 81a5b9a9-d16b-4aa0-be55-b3e160d4d134)) + (segment (start 140.124 83.7035) (end 140.081 83.7465) (width 0.2) (layer "F.Cu") (net 56) (tstamp 897c57f4-87c4-4095-8131-6e9f976368bd)) + (segment (start 141.447 83.7035) (end 140.124 83.7035) (width 0.2) (layer "F.Cu") (net 56) (tstamp 9981cdfa-afbf-4077-bccd-c2e65048789e)) + (via (at 141.447 83.7035) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp f5fc0d4d-935d-43af-8cd9-66ccb94f4341)) + (segment (start 140.591 83.1215) (end 140.865 83.1215) (width 0.2) (layer "B.Cu") (net 56) (tstamp 2f5a721e-f709-4953-baf2-91dbfca8269b)) + (segment (start 140.591 81.1375) (end 140.477 81.0235) (width 0.2) (layer "B.Cu") (net 56) (tstamp 47df4ca8-08ea-4ba7-9cc2-3a2bd0b88f92)) + (segment (start 140.591 83.1215) (end 140.591 81.1375) (width 0.2) (layer "B.Cu") (net 56) (tstamp 51bddba7-192e-4aaa-833c-2a4ba2026c1b)) + (segment (start 140.865 83.1215) (end 141.447 83.7035) (width 0.2) (layer "B.Cu") (net 56) (tstamp d02670dc-78a5-418c-9799-34eb59973d87)) + (segment (start 138.876999 79.523501) (end 138.577 79.8235) (width 0.2) (layer "F.Cu") (net 57) (tstamp 1570e4a3-d7a3-441c-84bd-5ee6321d3f8c)) + (segment (start 139.894001 79.523501) (end 138.876999 79.523501) (width 0.2) (layer "F.Cu") (net 57) (tstamp 84dde059-6944-4cdc-92d4-284e709d24be)) + (segment (start 140.581 80.2105) (end 139.894001 79.523501) (width 0.2) (layer "F.Cu") (net 57) (tstamp cdae84a7-db7d-41d8-9f78-ce33ad654f73)) + (segment (start 141.859 80.3255) (end 140.696 80.3255) (width 0.2) (layer "F.Cu") (net 57) (tstamp e1362a45-c887-4001-ae74-6e76f19fc506)) + (segment (start 140.696 80.3255) (end 140.581 80.2105) (width 0.2) (layer "F.Cu") (net 57) (tstamp f475791c-dbd3-4502-a7d6-92220f6e5630)) + (via (at 138.577 79.8235) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 57) (tstamp b859ad49-6388-44bf-9427-9c978f0cffed)) + (segment (start 139.177 79.1235) (end 138.577 79.7235) (width 0.2) (layer "B.Cu") (net 57) (tstamp 33e3e649-629d-44d9-9a17-72abf250f380)) + (segment (start 138.577 79.7235) (end 138.577 79.8235) (width 0.2) (layer "B.Cu") (net 57) (tstamp e580610c-d87d-499e-a171-fbd2b38672aa)) + (segment (start 147.835456 78.9205) (end 148.559389 79.644433) (width 0.2) (layer "F.Cu") (net 58) (tstamp 58e0cb85-1037-4e6a-8c94-85a733cf7a75)) + (segment (start 147.447 78.9205) (end 147.835456 78.9205) (width 0.2) (layer "F.Cu") (net 58) (tstamp 8e0057f5-a091-4f8f-98d2-ab94435c2587)) + (via (at 148.559389 79.644433) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 58) (tstamp 78e384e8-0b06-4383-a50d-3dbb64bb4e21)) + (segment (start 147.589 81.0235) (end 147.589 80.614822) (width 0.2) (layer "B.Cu") (net 58) (tstamp a1011eff-a598-4328-8f17-a2865c120ccc)) + (segment (start 147.589 80.614822) (end 148.559389 79.644433) (width 0.2) (layer "B.Cu") (net 58) (tstamp b7bb0890-6165-4147-9e7d-740584cc16b7)) + (segment (start 147.947 85.0365) (end 148.856 85.0365) (width 0.2) (layer "F.Cu") (net 59) (tstamp 04d42111-9e54-487d-8472-7ea748be2cb1)) + (segment (start 146.764999 84.481499) (end 147.391999 84.481499) (width 0.2) (layer "F.Cu") (net 59) (tstamp 179c5070-ca21-45b6-865d-33910fb1b166)) + (segment (start 148.856 85.0365) (end 148.971 85.1515) (width 0.2) (layer "F.Cu") (net 59) (tstamp 69387dd6-5fe5-4a93-bb49-f90185718cef)) + (segment (start 145.777 83.4935) (end 146.764999 84.481499) (width 0.2) (layer "F.Cu") (net 59) (tstamp b08aa012-a74b-49f1-864b-5fb8a1b926ee)) + (segment (start 147.391999 84.481499) (end 147.947 85.0365) (width 0.2) (layer "F.Cu") (net 59) (tstamp ee45d659-ba2c-4fa5-bba9-bd0946a8710b)) + (via (at 145.777 83.4935) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 59) (tstamp e387b7d2-6450-4c40-8dd2-737d3416de2e)) + (segment (start 145.747 83.4635) (end 145.777 83.4935) (width 0.2) (layer "B.Cu") (net 59) (tstamp 1e43f991-022d-4658-9954-8165f37d1de1)) + (segment (start 146.289 79.1235) (end 146.289 79.959502) (width 0.2) (layer "B.Cu") (net 59) (tstamp 2855786c-f605-4cbd-b034-a478e289a2fd)) + (segment (start 145.035001 82.321501) (end 145.747 83.0335) (width 0.2) (layer "B.Cu") (net 59) (tstamp 38976241-1cd0-403f-8d3a-b305031276a3)) + (segment (start 146.517 83.0335) (end 146.937 82.6135) (width 0.2) (layer "B.Cu") (net 59) (tstamp 3b3e0e2d-5ed2-4ffd-95c6-15a76de1e21f)) + (segment (start 145.747 83.0335) (end 145.747 83.4635) (width 0.2) (layer "B.Cu") (net 59) (tstamp a474a451-2afb-4730-8957-b390d0c1c8d1)) + (segment (start 145.747 83.0335) (end 146.517 83.0335) (width 0.2) (layer "B.Cu") (net 59) (tstamp b9371a0c-dae3-403f-b4eb-1c2648f98bb2)) + (segment (start 145.035001 81.213501) (end 145.035001 82.321501) (width 0.2) (layer "B.Cu") (net 59) (tstamp d1a2523b-d4bb-494a-8fd4-7770cb09fe6a)) + (segment (start 146.289 79.959502) (end 145.035001 81.213501) (width 0.2) (layer "B.Cu") (net 59) (tstamp f64ce838-fc68-45e2-a5e5-14d3720e536e)) + (segment (start 150.034 83.7465) (end 150.717 83.0635) (width 0.2) (layer "F.Cu") (net 60) (tstamp 34db5d51-01f9-49a8-acec-4a31ffe87c73)) + (segment (start 147.447 83.7465) (end 150.034 83.7465) (width 0.2) (layer "F.Cu") (net 60) (tstamp 94b38664-259e-4b8f-b7c3-930e2ad39535)) + (via (at 150.717 83.0635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 60) (tstamp 04d23028-3446-4a04-98ef-56b8e2b3009c)) + (segment (start 152.415 81.8025) (end 152.415 81.2775) (width 0.2) (layer "B.Cu") (net 60) (tstamp 12d38d33-dfbf-4995-8ef8-589dd3521e2a)) + (segment (start 150.717 82.1335) (end 150.807 82.0435) (width 0.2) (layer "B.Cu") (net 60) (tstamp 413ec53b-a947-4f30-8a60-ff9be1acc652)) + (segment (start 150.807 82.0435) (end 152.174 82.0435) (width 0.2) (layer "B.Cu") (net 60) (tstamp 93573588-4216-4d47-a54a-417b55ba6572)) + (segment (start 150.717 83.0635) (end 150.717 82.1335) (width 0.2) (layer "B.Cu") (net 60) (tstamp b20d430e-878d-496c-b034-89b126003665)) + (segment (start 152.174 82.0435) (end 152.415 81.8025) (width 0.2) (layer "B.Cu") (net 60) (tstamp c4396d2e-d41c-417d-9a55-ab2519221dd3)) + (segment (start 152.964 80.2105) (end 152.229001 79.475501) (width 0.2) (layer "F.Cu") (net 61) (tstamp 42ac9b25-f697-44a1-979b-25753bd099a9)) + (segment (start 155.207 80.2635) (end 153.08 80.2635) (width 0.2) (layer "F.Cu") (net 61) (tstamp 6a5571cd-d081-4c02-9c45-92ed9bed2e52)) + (segment (start 153.027 80.2105) (end 152.964 80.2105) (width 0.2) (layer "F.Cu") (net 61) (tstamp 784c95fc-28df-43c2-9c43-2b4c34945fb8)) + (segment (start 153.08 80.2635) (end 153.027 80.2105) (width 0.2) (layer "F.Cu") (net 61) (tstamp 86427e8d-1f2e-42e3-ab6c-55e667b8578b)) + (segment (start 150.459001 79.475501) (end 150.427 79.4435) (width 0.2) (layer "F.Cu") (net 61) (tstamp bdba9256-25b0-411d-b9e0-f7ff3fcda466)) + (segment (start 152.229001 79.475501) (end 150.459001 79.475501) (width 0.2) (layer "F.Cu") (net 61) (tstamp d86d4328-b720-47bb-bc2c-e039cb32ba1a)) + (via (at 155.207 80.2635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 61) (tstamp 6a5351f6-c4aa-4be9-9fea-a3e0829a788c)) + (via (at 150.427 79.4435) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 61) (tstamp ed9e96ef-4f1a-441e-8e7e-88b07603df28)) + (segment (start 154.305 80.3295) (end 155.141 80.3295) (width 0.2) (layer "B.Cu") (net 61) (tstamp 6490c8c7-d736-4292-b360-41c16765984c)) + (segment (start 151.049 79.4435) (end 151.115 79.3775) (width 0.2) (layer "B.Cu") (net 61) (tstamp a478c20f-a1cc-472c-8b15-a629030ebdb1)) + (segment (start 155.141 80.3295) (end 155.207 80.2635) (width 0.2) (layer "B.Cu") (net 61) (tstamp e0201e7b-86a4-450e-80b7-11f18b351f37)) + (segment (start 150.427 79.4435) (end 151.049 79.4435) (width 0.2) (layer "B.Cu") (net 61) (tstamp efdaf506-652d-4793-a9a3-b253efbaed46)) + (segment (start 129.078 80.8835) (end 128.057 80.8835) (width 0.2) (layer "F.Cu") (net 62) (tstamp 10cbcbfc-7d1f-428e-aff8-08d49f8431b8)) + (segment (start 129.157 85.0785) (end 128.757 85.0785) (width 0.2) (layer "F.Cu") (net 62) (tstamp 1c41780a-99e9-4802-ba12-27c68e4954a8)) + (segment (start 128.057 80.8835) (end 127.487 81.4535) (width 0.2) (layer "F.Cu") (net 62) (tstamp 2bc6bb28-3886-4877-b4d1-de61cb798412)) + (segment (start 128.156999 85.678501) (end 128.156999 91.035535) (width 0.2) (layer "F.Cu") (net 62) (tstamp 5d187036-4cca-4c54-bf5e-84794fb3c03d)) + (segment (start 129.79 81.5955) (end 129.675 81.4805) (width 0.2) (layer "F.Cu") (net 62) (tstamp 6967a3dd-f2bb-4899-83c5-4f9d07866411)) + (segment (start 127.487 83.7445) (end 128.759 85.0165) (width 0.2) (layer "F.Cu") (net 62) (tstamp 78448fc8-bd88-4293-bcc8-afaf6d2813bd)) + (segment (start 128.759 85.0165) (end 129.159 85.0165) (width 0.2) (layer "F.Cu") (net 62) (tstamp 7b5e8157-12e7-4ba5-9804-ed27f6460760)) + (segment (start 128.156999 91.035535) (end 130.519271 93.397807) (width 0.2) (layer "F.Cu") (net 62) (tstamp 8f7859fb-c1fa-423f-805f-f710c62ef51c)) + (segment (start 128.757 85.0785) (end 128.156999 85.678501) (width 0.2) (layer "F.Cu") (net 62) (tstamp b3552cc6-391c-45ab-b8aa-bc7da5b70464)) + (segment (start 130.937 81.5955) (end 129.79 81.5955) (width 0.2) (layer "F.Cu") (net 62) (tstamp e9ed57a4-4dc5-4d67-ab33-c2ea4ddad899)) + (segment (start 127.487 81.4535) (end 127.487 83.7445) (width 0.2) (layer "F.Cu") (net 62) (tstamp fa66252b-a62f-481e-827f-4141276cd3cd)) + (segment (start 129.675 81.4805) (end 129.078 80.8835) (width 0.2) (layer "F.Cu") (net 62) (tstamp fb29a964-94c5-4f55-bd55-9a3a844f1a54)) + (via (at 130.519271 93.397807) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 62) (tstamp 7902a1d8-4669-4b42-bb2d-06818c7d8b83)) + (segment (start 130.063 91.5275) (end 130.063 92.941536) (width 0.2) (layer "B.Cu") (net 62) (tstamp cf1a9d00-f1b5-4a23-8fab-8596fa2512ab)) + (segment (start 130.063 92.941536) (end 130.519271 93.397807) (width 0.2) (layer "B.Cu") (net 62) (tstamp e2d14377-f1ec-417a-bf0b-8bab7c27a48b)) + (segment (start 128.763 89.7255) (end 128.763 86.375) (width 0.2) (layer "B.Cu") (net 63) (tstamp 5bdce17b-b40c-4011-b97a-595fd38badbc)) + (segment (start 131.572 79.375) (end 131.572 79.629) (width 0.2) (layer "B.Cu") (net 63) (tstamp 7b66400e-1507-4a19-812d-32ad297178b8)) + (segment (start 128.763 86.375) (end 131.445 83.693) (width 0.2) (layer "B.Cu") (net 63) (tstamp 863ebe40-d7a0-4fc4-be7d-af0170995234)) + (segment (start 131.445 83.693) (end 131.445 79.502) (width 0.2) (layer "B.Cu") (net 63) (tstamp 87aeee09-d07b-474c-b03b-3ba64c343423)) + (segment (start 131.445 79.502) (end 131.572 79.375) (width 0.2) (layer "B.Cu") (net 63) (tstamp abf0ffb4-2860-4a7d-8fda-6662c94a3979)) - (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 617F3B5C) (hatch edge 0.508) + (zone (net 1) (net_name "GND") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-0000617f3b5c) (hatch edge 0.508) (connect_pads (clearance 0.3)) (min_thickness 0.254) - (fill yes (arc_segments 32) (thermal_gap 0.3) (thermal_bridge_width 0.508)) + (fill yes (thermal_gap 0.3) (thermal_bridge_width 0.508)) (polygon (pts - (xy 160.909 77.7875) (xy 160.909 119.9515) (xy 159.385 119.9515) (xy 126.619 119.9515) (xy 126.619 77.7875) + (xy 160.909 77.7875) + (xy 160.909 119.9515) + (xy 159.385 119.9515) + (xy 126.619 119.9515) + (xy 126.619 77.7875) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 160.457 118.151391) (xy 160.42962 118.430633) (xy 160.354943 118.677977) (xy 160.233649 118.906097) (xy 160.070352 119.106319) - (xy 159.871279 119.271007) (xy 159.644008 119.393892) (xy 159.397194 119.470294) (xy 159.119314 119.4995) (xy 128.419109 119.4995) - (xy 128.139867 119.47212) (xy 127.892523 119.397443) (xy 127.664403 119.276149) (xy 127.464181 119.112852) (xy 127.299493 118.913779) - (xy 127.176608 118.686508) (xy 127.100206 118.439694) (xy 127.071 118.161814) (xy 127.071 115.878358) (xy 128.402 115.878358) - (xy 128.402 116.277642) (xy 128.479896 116.669254) (xy 128.632696 117.038145) (xy 128.854526 117.370137) (xy 129.136863 117.652474) - (xy 129.468855 117.874304) (xy 129.837746 118.027104) (xy 130.229358 118.105) (xy 130.628642 118.105) (xy 131.020254 118.027104) - (xy 131.389145 117.874304) (xy 131.721137 117.652474) (xy 132.003474 117.370137) (xy 132.225304 117.038145) (xy 132.378104 116.669254) - (xy 132.42388 116.439121) (xy 134.668984 116.439121) (xy 134.782585 116.650445) (xy 135.045261 116.775544) (xy 135.327294 116.846995) - (xy 135.617848 116.86205) (xy 135.905757 116.820131) (xy 136.179955 116.722849) (xy 136.315415 116.650445) (xy 136.429016 116.439121) - (xy 135.549 115.559105) (xy 134.668984 116.439121) (xy 132.42388 116.439121) (xy 132.456 116.277642) (xy 132.456 115.878358) - (xy 132.378104 115.486746) (xy 132.362199 115.448348) (xy 134.06645 115.448348) (xy 134.108369 115.736257) (xy 134.205651 116.010455) - (xy 134.278055 116.145915) (xy 134.489379 116.259516) (xy 135.369395 115.3795) (xy 134.489379 114.499484) (xy 134.278055 114.613085) - (xy 134.152956 114.875761) (xy 134.081505 115.157794) (xy 134.06645 115.448348) (xy 132.362199 115.448348) (xy 132.225304 115.117855) - (xy 132.003474 114.785863) (xy 131.721137 114.503526) (xy 131.389145 114.281696) (xy 131.020254 114.128896) (xy 130.628642 114.051) - (xy 130.229358 114.051) (xy 129.837746 114.128896) (xy 129.468855 114.281696) (xy 129.136863 114.503526) (xy 128.854526 114.785863) - (xy 128.632696 115.117855) (xy 128.479896 115.486746) (xy 128.402 115.878358) (xy 127.071 115.878358) (xy 127.071 101.8295) - (xy 129.911934 101.8295) (xy 129.911934 103.5295) (xy 129.920178 103.613207) (xy 129.944595 103.693696) (xy 129.984245 103.767876) - (xy 130.037605 103.832895) (xy 130.102624 103.886255) (xy 130.176804 103.925905) (xy 130.257293 103.950322) (xy 130.341 103.958566) - (xy 130.734054 103.958566) (xy 130.750696 103.989701) (xy 130.816553 104.069947) (xy 130.896799 104.135804) (xy 130.988351 104.184739) - (xy 131.087691 104.214874) (xy 131.191 104.225049) (xy 131.294309 104.214874) (xy 131.393649 104.184739) (xy 131.485201 104.135804) - (xy 131.545344 104.086445) (xy 131.673223 103.958566) (xy 132.041 103.958566) (xy 132.124707 103.950322) (xy 132.205196 103.925905) - (xy 132.279376 103.886255) (xy 132.344395 103.832895) (xy 132.397755 103.767876) (xy 132.437405 103.693696) (xy 132.461822 103.613207) - (xy 132.470066 103.5295) (xy 132.470066 103.153394) (xy 132.481302 103.139703) (xy 132.530238 103.048151) (xy 132.560373 102.948811) - (xy 132.570548 102.845502) (xy 132.560373 102.742192) (xy 132.530238 102.642853) (xy 132.481302 102.5513) (xy 132.470066 102.537609) - (xy 132.470066 101.8295) (xy 132.461822 101.745793) (xy 132.437405 101.665304) (xy 132.397755 101.591124) (xy 132.344395 101.526105) - (xy 132.279376 101.472745) (xy 132.205196 101.433095) (xy 132.124707 101.408678) (xy 132.041 101.400434) (xy 131.318002 101.400434) - (xy 131.318002 101.303426) (xy 131.515214 101.374664) (xy 131.749953 101.28768) (xy 131.963212 101.156572) (xy 132.146795 100.986378) - (xy 132.293647 100.783639) (xy 132.398125 100.556146) (xy 132.426158 100.463713) (xy 132.354338 100.2665) (xy 131.318 100.2665) - (xy 131.318 100.2865) (xy 131.064 100.2865) (xy 131.064 100.2665) (xy 130.027662 100.2665) (xy 129.955842 100.463713) - (xy 129.983875 100.556146) (xy 130.088353 100.783639) (xy 130.235205 100.986378) (xy 130.418788 101.156572) (xy 130.632047 101.28768) - (xy 130.866786 101.374664) (xy 131.063998 101.303426) (xy 131.063998 101.400434) (xy 130.341 101.400434) (xy 130.257293 101.408678) - (xy 130.176804 101.433095) (xy 130.102624 101.472745) (xy 130.037605 101.526105) (xy 129.984245 101.591124) (xy 129.944595 101.665304) - (xy 129.920178 101.745793) (xy 129.911934 101.8295) (xy 127.071 101.8295) (xy 127.071 84.068315) (xy 127.112553 84.118948) - (xy 127.132661 84.13545) (xy 127.917155 84.919946) (xy 127.917166 84.919955) (xy 128.04371 85.0465) (xy 127.802665 85.287546) - (xy 127.782551 85.304053) (xy 127.716695 85.384299) (xy 127.683876 85.445701) (xy 127.66776 85.475852) (xy 127.637625 85.575192) - (xy 127.62745 85.678501) (xy 127.629999 85.704382) (xy 127.63 91.009644) (xy 127.62745 91.035535) (xy 127.637625 91.138844) - (xy 127.66776 91.238184) (xy 127.716695 91.329736) (xy 127.716696 91.329737) (xy 127.782552 91.409983) (xy 127.802661 91.426486) - (xy 129.692314 93.31614) (xy 129.692271 93.316355) (xy 129.692271 93.479259) (xy 129.724053 93.639034) (xy 129.786394 93.789538) - (xy 129.876899 93.924988) (xy 129.99209 94.040179) (xy 130.12754 94.130684) (xy 130.259292 94.185257) (xy 130.199089 94.24546) - (xy 130.059337 94.454614) (xy 129.963074 94.687013) (xy 129.914 94.933726) (xy 129.914 95.185274) (xy 129.963074 95.431987) - (xy 130.059337 95.664386) (xy 130.199089 95.87354) (xy 130.37696 96.051411) (xy 130.586114 96.191163) (xy 130.818513 96.287426) - (xy 131.030034 96.3295) (xy 130.818513 96.371574) (xy 130.586114 96.467837) (xy 130.37696 96.607589) (xy 130.199089 96.78546) - (xy 130.059337 96.994614) (xy 129.963074 97.227013) (xy 129.914 97.473726) (xy 129.914 97.725274) (xy 129.963074 97.971987) - (xy 130.059337 98.204386) (xy 130.199089 98.41354) (xy 130.37696 98.591411) (xy 130.586114 98.731163) (xy 130.818513 98.827426) - (xy 131.063998 98.876256) (xy 131.063998 98.975574) (xy 130.866786 98.904336) (xy 130.632047 98.99132) (xy 130.418788 99.122428) - (xy 130.235205 99.292622) (xy 130.088353 99.495361) (xy 129.983875 99.722854) (xy 129.955842 99.815287) (xy 130.027662 100.0125) - (xy 131.064 100.0125) (xy 131.064 99.9925) (xy 131.318 99.9925) (xy 131.318 100.0125) (xy 132.354338 100.0125) - (xy 132.426158 99.815287) (xy 132.398125 99.722854) (xy 132.293647 99.495361) (xy 132.146795 99.292622) (xy 131.963212 99.122428) - (xy 131.749953 98.99132) (xy 131.515214 98.904336) (xy 131.318002 98.975574) (xy 131.318002 98.876256) (xy 131.563487 98.827426) - (xy 131.795886 98.731163) (xy 132.00504 98.591411) (xy 132.182911 98.41354) (xy 132.322663 98.204386) (xy 132.418926 97.971987) - (xy 132.46384 97.746189) (xy 132.84113 97.746189) (xy 132.867011 97.748738) (xy 132.892892 97.746189) (xy 132.970321 97.738563) - (xy 133.069661 97.708428) (xy 133.161213 97.659493) (xy 133.241459 97.593637) (xy 133.257966 97.573523) (xy 133.58135 97.25014) - (xy 133.601459 97.233637) (xy 133.667315 97.153391) (xy 133.71625 97.061839) (xy 133.746385 96.962499) (xy 133.754011 96.88507) - (xy 133.75656 96.859189) (xy 133.754011 96.833308) (xy 133.754011 94.6868) (xy 133.871934 94.804724) (xy 133.871934 94.9435) - (xy 133.880178 95.027207) (xy 133.904595 95.107696) (xy 133.944245 95.181876) (xy 133.980054 95.225509) (xy 133.960806 95.241306) - (xy 133.889103 95.328677) (xy 133.835822 95.428358) (xy 133.803013 95.536518) (xy 133.791934 95.649) (xy 133.791934 95.994) - (xy 133.803013 96.106482) (xy 133.835822 96.214642) (xy 133.889103 96.314323) (xy 133.960806 96.401694) (xy 133.973999 96.412521) - (xy 133.974001 98.984866) (xy 133.944245 99.021124) (xy 133.904595 99.095304) (xy 133.880178 99.175793) (xy 133.871934 99.2595) - (xy 133.871934 99.7695) (xy 133.880178 99.853207) (xy 133.904595 99.933696) (xy 133.944245 100.007876) (xy 133.980054 100.051509) - (xy 133.960806 100.067306) (xy 133.889103 100.154677) (xy 133.835822 100.254358) (xy 133.803013 100.362518) (xy 133.791934 100.475) - (xy 133.791934 100.626276) (xy 133.222661 101.195549) (xy 133.202553 101.212052) (xy 133.154835 101.270197) (xy 133.136696 101.292299) - (xy 133.087761 101.383851) (xy 133.057626 101.483191) (xy 133.047451 101.5865) (xy 133.050001 101.612391) (xy 133.05 107.727619) - (xy 133.047451 107.7535) (xy 133.05 107.77938) (xy 133.057626 107.856809) (xy 133.087761 107.956149) (xy 133.136696 108.047702) - (xy 133.202552 108.127948) (xy 133.222666 108.144455) (xy 133.886189 108.807979) (xy 133.880178 108.827793) (xy 133.871934 108.9115) - (xy 133.871934 109.4215) (xy 133.880178 109.505207) (xy 133.904595 109.585696) (xy 133.944245 109.659876) (xy 133.974 109.696133) - (xy 133.974 109.708478) (xy 133.960806 109.719306) (xy 133.889103 109.806677) (xy 133.835822 109.906358) (xy 133.803013 110.014518) - (xy 133.791934 110.127) (xy 133.791934 110.472) (xy 133.803013 110.584482) (xy 133.835822 110.692642) (xy 133.889103 110.792323) - (xy 133.960806 110.879694) (xy 133.989 110.902832) (xy 133.989001 111.82061) (xy 133.986451 111.8465) (xy 133.996626 111.949809) - (xy 134.026761 112.049149) (xy 134.075696 112.140701) (xy 134.084061 112.150894) (xy 134.141553 112.220948) (xy 134.161662 112.237451) - (xy 135.858442 113.934232) (xy 135.770706 113.912005) (xy 135.480152 113.89695) (xy 135.192243 113.938869) (xy 134.918045 114.036151) - (xy 134.782585 114.108555) (xy 134.668984 114.319879) (xy 135.549 115.199895) (xy 135.563143 115.185753) (xy 135.742748 115.365358) - (xy 135.728605 115.3795) (xy 136.608621 116.259516) (xy 136.794035 116.159843) (xy 136.901739 116.321033) (xy 137.107467 116.526761) - (xy 137.349378 116.688401) (xy 137.618175 116.79974) (xy 137.903528 116.8565) (xy 138.194472 116.8565) (xy 138.479825 116.79974) - (xy 138.748622 116.688401) (xy 138.990533 116.526761) (xy 139.196261 116.321033) (xy 139.299 116.167273) (xy 139.401739 116.321033) - (xy 139.607467 116.526761) (xy 139.849378 116.688401) (xy 140.118175 116.79974) (xy 140.403528 116.8565) (xy 140.694472 116.8565) - (xy 140.979825 116.79974) (xy 141.248622 116.688401) (xy 141.490533 116.526761) (xy 141.578173 116.439121) (xy 146.503484 116.439121) - (xy 146.617085 116.650445) (xy 146.879761 116.775544) (xy 147.161794 116.846995) (xy 147.452348 116.86205) (xy 147.740257 116.820131) - (xy 148.014455 116.722849) (xy 148.149915 116.650445) (xy 148.263516 116.439121) (xy 147.3835 115.559105) (xy 146.503484 116.439121) - (xy 141.578173 116.439121) (xy 141.696261 116.321033) (xy 141.857901 116.079122) (xy 141.96924 115.810325) (xy 142.026 115.524972) - (xy 142.026 115.448348) (xy 145.90095 115.448348) (xy 145.942869 115.736257) (xy 146.040151 116.010455) (xy 146.112555 116.145915) - (xy 146.323879 116.259516) (xy 147.203895 115.3795) (xy 146.323879 114.499484) (xy 146.112555 114.613085) (xy 145.987456 114.875761) - (xy 145.916005 115.157794) (xy 145.90095 115.448348) (xy 142.026 115.448348) (xy 142.026 115.234028) (xy 141.96924 114.948675) - (xy 141.857901 114.679878) (xy 141.696261 114.437967) (xy 141.490533 114.232239) (xy 141.248622 114.070599) (xy 140.979825 113.95926) - (xy 140.694472 113.9025) (xy 140.403528 113.9025) (xy 140.118175 113.95926) (xy 139.849378 114.070599) (xy 139.607467 114.232239) - (xy 139.401739 114.437967) (xy 139.299 114.591727) (xy 139.196261 114.437967) (xy 138.990533 114.232239) (xy 138.748622 114.070599) - (xy 138.479825 113.95926) (xy 138.194472 113.9025) (xy 137.903528 113.9025) (xy 137.618175 113.95926) (xy 137.445553 114.030762) - (xy 135.043 111.628211) (xy 135.043 110.964665) (xy 135.117858 111.004678) (xy 135.226018 111.037487) (xy 135.3385 111.048566) - (xy 135.6335 111.048566) (xy 135.745982 111.037487) (xy 135.854142 111.004678) (xy 135.953823 110.951397) (xy 136.041194 110.879694) - (xy 136.083208 110.8285) (xy 136.189519 110.8285) (xy 136.195145 110.835355) (xy 136.280622 110.905504) (xy 136.378141 110.957629) - (xy 136.483956 110.989728) (xy 136.594 111.000566) (xy 136.964 111.000566) (xy 137.074044 110.989728) (xy 137.179859 110.957629) - (xy 137.277378 110.905504) (xy 137.362855 110.835355) (xy 137.433004 110.749878) (xy 137.485129 110.652359) (xy 137.517228 110.546544) - (xy 137.528066 110.4365) (xy 137.528066 110.1665) (xy 137.517228 110.056456) (xy 137.485129 109.950641) (xy 137.433004 109.853122) - (xy 137.382432 109.7915) (xy 137.433004 109.729878) (xy 137.485129 109.632359) (xy 137.517228 109.526544) (xy 137.528066 109.4165) - (xy 137.528066 109.1465) (xy 137.517228 109.036456) (xy 137.485129 108.930641) (xy 137.433004 108.833122) (xy 137.362855 108.747645) - (xy 137.277378 108.677496) (xy 137.179859 108.625371) (xy 137.074044 108.593272) (xy 136.964 108.582434) (xy 136.594 108.582434) - (xy 136.483956 108.593272) (xy 136.378141 108.625371) (xy 136.280622 108.677496) (xy 136.195145 108.747645) (xy 136.124996 108.833122) - (xy 136.122759 108.837307) (xy 136.121822 108.827793) (xy 136.097405 108.747304) (xy 136.08174 108.717996) (xy 136.109058 108.712562) - (xy 136.241364 108.657759) (xy 136.360436 108.578198) (xy 136.461698 108.476936) (xy 136.541259 108.357864) (xy 136.596062 108.225558) - (xy 136.624 108.085103) (xy 136.624 107.941897) (xy 136.596062 107.801442) (xy 136.541259 107.669136) (xy 136.461698 107.550064) - (xy 136.382134 107.4705) (xy 138.581119 107.4705) (xy 138.607 107.473049) (xy 138.632881 107.4705) (xy 138.71031 107.462874) - (xy 138.80965 107.432739) (xy 138.901202 107.383804) (xy 138.981448 107.317948) (xy 138.997955 107.297834) (xy 139.950339 106.345451) - (xy 139.970448 106.328948) (xy 140.036304 106.248702) (xy 140.085239 106.15715) (xy 140.09553 106.123225) (xy 140.098177 106.125397) - (xy 140.197858 106.178678) (xy 140.306018 106.211487) (xy 140.4185 106.222566) (xy 140.7135 106.222566) (xy 140.825982 106.211487) - (xy 140.934142 106.178678) (xy 141.033823 106.125397) (xy 141.121194 106.053694) (xy 141.164849 106.0005) (xy 141.267878 106.0005) - (xy 141.275145 106.009355) (xy 141.360622 106.079504) (xy 141.458141 106.131629) (xy 141.563956 106.163728) (xy 141.674 106.174566) - (xy 141.952011 106.174566) (xy 141.952011 106.717219) (xy 139.402069 109.267162) (xy 139.264942 109.294438) (xy 139.132636 109.349241) - (xy 139.013564 109.428802) (xy 138.912302 109.530064) (xy 138.832741 109.649136) (xy 138.777938 109.781442) (xy 138.75 109.921897) - (xy 138.75 110.065103) (xy 138.777938 110.205558) (xy 138.832741 110.337864) (xy 138.912302 110.456936) (xy 139.013564 110.558198) - (xy 139.132636 110.637759) (xy 139.264942 110.692562) (xy 139.405397 110.7205) (xy 139.548603 110.7205) (xy 139.689058 110.692562) - (xy 139.821364 110.637759) (xy 139.940436 110.558198) (xy 140.041698 110.456936) (xy 140.121259 110.337864) (xy 140.176062 110.205558) - (xy 140.204 110.065103) (xy 140.204 109.955809) (xy 142.833349 107.326461) (xy 142.853458 107.309958) (xy 142.919314 107.229712) - (xy 142.968249 107.13816) (xy 142.998384 107.03882) (xy 143.00601 106.961391) (xy 143.00601 106.961389) (xy 143.008559 106.935511) - (xy 143.00601 106.909633) (xy 143.00601 104.811391) (xy 143.008559 104.78551) (xy 143.004712 104.746445) (xy 142.998384 104.6822) - (xy 142.968249 104.58286) (xy 142.919314 104.491308) (xy 142.853458 104.411062) (xy 142.833349 104.394559) (xy 142.579289 104.1405) - (xy 143.42 104.1405) (xy 143.42 104.245103) (xy 143.447938 104.385558) (xy 143.502741 104.517864) (xy 143.582302 104.636936) - (xy 143.62 104.674634) (xy 143.620001 110.119107) (xy 143.617451 110.144998) (xy 143.627626 110.248307) (xy 143.657761 110.347647) - (xy 143.706696 110.439199) (xy 143.706697 110.4392) (xy 143.772553 110.519446) (xy 143.792662 110.535949) (xy 147.174123 113.917411) - (xy 147.026743 113.938869) (xy 146.752545 114.036151) (xy 146.617085 114.108555) (xy 146.503484 114.319879) (xy 147.3835 115.199895) - (xy 147.397643 115.185753) (xy 147.577248 115.365358) (xy 147.563105 115.3795) (xy 148.443121 116.259516) (xy 148.628535 116.159843) - (xy 148.736239 116.321033) (xy 148.941967 116.526761) (xy 149.183878 116.688401) (xy 149.452675 116.79974) (xy 149.738028 116.8565) - (xy 150.028972 116.8565) (xy 150.314325 116.79974) (xy 150.583122 116.688401) (xy 150.825033 116.526761) (xy 151.030761 116.321033) - (xy 151.1335 116.167273) (xy 151.236239 116.321033) (xy 151.441967 116.526761) (xy 151.683878 116.688401) (xy 151.952675 116.79974) - (xy 152.238028 116.8565) (xy 152.528972 116.8565) (xy 152.814325 116.79974) (xy 153.083122 116.688401) (xy 153.325033 116.526761) - (xy 153.530761 116.321033) (xy 153.692401 116.079122) (xy 153.749257 115.941858) (xy 155.072 115.941858) (xy 155.072 116.341142) - (xy 155.149896 116.732754) (xy 155.302696 117.101645) (xy 155.524526 117.433637) (xy 155.806863 117.715974) (xy 156.138855 117.937804) - (xy 156.507746 118.090604) (xy 156.899358 118.1685) (xy 157.298642 118.1685) (xy 157.690254 118.090604) (xy 158.059145 117.937804) - (xy 158.391137 117.715974) (xy 158.673474 117.433637) (xy 158.895304 117.101645) (xy 159.048104 116.732754) (xy 159.126 116.341142) - (xy 159.126 115.941858) (xy 159.048104 115.550246) (xy 158.895304 115.181355) (xy 158.673474 114.849363) (xy 158.391137 114.567026) - (xy 158.059145 114.345196) (xy 157.690254 114.192396) (xy 157.298642 114.1145) (xy 156.899358 114.1145) (xy 156.507746 114.192396) - (xy 156.138855 114.345196) (xy 155.806863 114.567026) (xy 155.524526 114.849363) (xy 155.302696 115.181355) (xy 155.149896 115.550246) - (xy 155.072 115.941858) (xy 153.749257 115.941858) (xy 153.80374 115.810325) (xy 153.8605 115.524972) (xy 153.8605 115.234028) - (xy 153.80374 114.948675) (xy 153.692401 114.679878) (xy 153.530761 114.437967) (xy 153.325033 114.232239) (xy 153.083122 114.070599) - (xy 152.814325 113.95926) (xy 152.528972 113.9025) (xy 152.238028 113.9025) (xy 151.952675 113.95926) (xy 151.683878 114.070599) - (xy 151.441967 114.232239) (xy 151.236239 114.437967) (xy 151.1335 114.591727) (xy 151.030761 114.437967) (xy 150.825033 114.232239) - (xy 150.583122 114.070599) (xy 150.314325 113.95926) (xy 150.028972 113.9025) (xy 149.738028 113.9025) (xy 149.452675 113.95926) - (xy 149.183878 114.070599) (xy 148.964485 114.217193) (xy 146.784392 112.0371) (xy 146.801269 112.048377) (xy 146.951773 112.110718) - (xy 147.111548 112.1425) (xy 147.274452 112.1425) (xy 147.434227 112.110718) (xy 147.584731 112.048377) (xy 147.720181 111.957872) - (xy 147.835372 111.842681) (xy 147.925877 111.707231) (xy 147.988218 111.556727) (xy 148.009348 111.4505) (xy 150.998711 111.4505) - (xy 151.446049 111.897839) (xy 151.462552 111.917948) (xy 151.542798 111.983804) (xy 151.63435 112.032739) (xy 151.73369 112.062874) - (xy 151.811119 112.0705) (xy 151.811121 112.0705) (xy 151.836999 112.073049) (xy 151.862877 112.0705) (xy 154.531119 112.0705) - (xy 154.557 112.073049) (xy 154.582881 112.0705) (xy 154.66031 112.062874) (xy 154.75965 112.032739) (xy 154.851202 111.983804) - (xy 154.931448 111.917948) (xy 154.947955 111.897834) (xy 155.679365 111.166426) (xy 155.699469 111.149927) (xy 155.765325 111.069681) - (xy 155.81426 110.978129) (xy 155.844395 110.878789) (xy 155.852021 110.80136) (xy 155.852021 110.801359) (xy 155.85457 110.775479) - (xy 155.852021 110.749598) (xy 155.852021 103.958566) (xy 157.441 103.958566) (xy 157.524707 103.950322) (xy 157.605196 103.925905) - (xy 157.679376 103.886255) (xy 157.744395 103.832895) (xy 157.797755 103.767876) (xy 157.837405 103.693696) (xy 157.861822 103.613207) - (xy 157.870066 103.5295) (xy 157.870066 101.8295) (xy 157.861822 101.745793) (xy 157.837405 101.665304) (xy 157.797755 101.591124) - (xy 157.744395 101.526105) (xy 157.679376 101.472745) (xy 157.605196 101.433095) (xy 157.524707 101.408678) (xy 157.441 101.400434) - (xy 156.718002 101.400434) (xy 156.718002 101.303426) (xy 156.915214 101.374664) (xy 157.149953 101.28768) (xy 157.363212 101.156572) - (xy 157.546795 100.986378) (xy 157.693647 100.783639) (xy 157.798125 100.556146) (xy 157.826158 100.463713) (xy 157.754338 100.2665) - (xy 156.718 100.2665) (xy 156.718 100.2865) (xy 156.464 100.2865) (xy 156.464 100.2665) (xy 156.444 100.2665) - (xy 156.444 100.0125) (xy 156.464 100.0125) (xy 156.464 99.9925) (xy 156.718 99.9925) (xy 156.718 100.0125) - (xy 157.754338 100.0125) (xy 157.826158 99.815287) (xy 157.798125 99.722854) (xy 157.693647 99.495361) (xy 157.546795 99.292622) - (xy 157.363212 99.122428) (xy 157.149953 98.99132) (xy 156.915214 98.904336) (xy 156.718002 98.975574) (xy 156.718002 98.876256) - (xy 156.963487 98.827426) (xy 157.195886 98.731163) (xy 157.40504 98.591411) (xy 157.582911 98.41354) (xy 157.722663 98.204386) - (xy 157.818926 97.971987) (xy 157.868 97.725274) (xy 157.868 97.473726) (xy 157.818926 97.227013) (xy 157.786664 97.149126) - (xy 158.388355 96.547436) (xy 158.408459 96.530937) (xy 158.474315 96.450691) (xy 158.52325 96.359139) (xy 158.553385 96.259799) - (xy 158.561011 96.18237) (xy 158.561011 96.182369) (xy 158.56356 96.156489) (xy 158.561011 96.130608) (xy 158.561011 93.734402) - (xy 158.56356 93.708521) (xy 158.553385 93.605211) (xy 158.548374 93.588692) (xy 158.52325 93.505871) (xy 158.474315 93.414319) - (xy 158.408459 93.334073) (xy 158.38835 93.31757) (xy 158.33399 93.26321) (xy 158.33399 93.209897) (xy 158.306052 93.069442) - (xy 158.251249 92.937136) (xy 158.171688 92.818064) (xy 158.070426 92.716802) (xy 157.951354 92.637241) (xy 157.819048 92.582438) - (xy 157.678593 92.5545) (xy 157.535387 92.5545) (xy 157.394932 92.582438) (xy 157.305171 92.619618) (xy 157.715339 92.209451) - (xy 157.735448 92.192948) (xy 157.801304 92.112702) (xy 157.850239 92.02115) (xy 157.880374 91.92181) (xy 157.888 91.844381) - (xy 157.888 91.844379) (xy 157.890549 91.818501) (xy 157.888 91.792623) (xy 157.888 91.385708) (xy 157.942741 91.517864) - (xy 158.022302 91.636936) (xy 158.123564 91.738198) (xy 158.242636 91.817759) (xy 158.374942 91.872562) (xy 158.515397 91.9005) - (xy 158.658603 91.9005) (xy 158.799058 91.872562) (xy 158.931364 91.817759) (xy 159.050436 91.738198) (xy 159.151698 91.636936) - (xy 159.231259 91.517864) (xy 159.286062 91.385558) (xy 159.314 91.245103) (xy 159.314 91.101897) (xy 159.286062 90.961442) - (xy 159.231259 90.829136) (xy 159.151698 90.710064) (xy 159.050436 90.608802) (xy 158.931364 90.529241) (xy 158.799058 90.474438) - (xy 158.658603 90.4465) (xy 158.60529 90.4465) (xy 158.388 90.229211) (xy 158.388 89.824661) (xy 158.392636 89.827759) - (xy 158.524942 89.882562) (xy 158.665397 89.9105) (xy 158.808603 89.9105) (xy 158.949058 89.882562) (xy 159.081364 89.827759) - (xy 159.200436 89.748198) (xy 159.301698 89.646936) (xy 159.381259 89.527864) (xy 159.436062 89.395558) (xy 159.464 89.255103) - (xy 159.464 89.111897) (xy 159.436062 88.971442) (xy 159.381259 88.839136) (xy 159.301698 88.720064) (xy 159.200436 88.618802) - (xy 159.081364 88.539241) (xy 158.949058 88.484438) (xy 158.888 88.472293) (xy 158.888 86.836132) (xy 158.917755 86.799876) - (xy 158.957405 86.725696) (xy 158.981822 86.645207) (xy 158.990066 86.5615) (xy 158.990066 86.297723) (xy 159.685224 85.602566) - (xy 159.824 85.602566) (xy 159.934044 85.591728) (xy 160.039859 85.559629) (xy 160.137378 85.507504) (xy 160.222855 85.437355) - (xy 160.293004 85.351878) (xy 160.345129 85.254359) (xy 160.377228 85.148544) (xy 160.388066 85.0385) (xy 160.388066 84.7685) - (xy 160.377228 84.658456) (xy 160.345129 84.552641) (xy 160.293004 84.455122) (xy 160.242432 84.3935) (xy 160.293004 84.331878) - (xy 160.345129 84.234359) (xy 160.377228 84.128544) (xy 160.388066 84.0185) (xy 160.388066 83.7485) (xy 160.377228 83.638456) - (xy 160.345129 83.532641) (xy 160.293004 83.435122) (xy 160.222855 83.349645) (xy 160.137378 83.279496) (xy 160.079389 83.2485) - (xy 160.137378 83.217504) (xy 160.222855 83.147355) (xy 160.293004 83.061878) (xy 160.345129 82.964359) (xy 160.377228 82.858544) - (xy 160.388066 82.7485) (xy 160.388066 82.697724) (xy 160.457001 82.628789) + (xy 160.457 118.151391) + (xy 160.42962 118.430633) + (xy 160.354943 118.677977) + (xy 160.233649 118.906097) + (xy 160.070352 119.106319) + (xy 159.871279 119.271007) + (xy 159.644008 119.393892) + (xy 159.397194 119.470294) + (xy 159.119314 119.4995) + (xy 128.419109 119.4995) + (xy 128.139867 119.47212) + (xy 127.892523 119.397443) + (xy 127.664403 119.276149) + (xy 127.464181 119.112852) + (xy 127.299493 118.913779) + (xy 127.176608 118.686508) + (xy 127.100206 118.439694) + (xy 127.071 118.161814) + (xy 127.071 115.941858) + (xy 128.402 115.941858) + (xy 128.402 116.341142) + (xy 128.479896 116.732754) + (xy 128.632696 117.101645) + (xy 128.854526 117.433637) + (xy 129.136863 117.715974) + (xy 129.468855 117.937804) + (xy 129.837746 118.090604) + (xy 130.229358 118.1685) + (xy 130.628642 118.1685) + (xy 131.020254 118.090604) + (xy 131.389145 117.937804) + (xy 131.721137 117.715974) + (xy 132.003474 117.433637) + (xy 132.225304 117.101645) + (xy 132.378104 116.732754) + (xy 132.43651 116.439121) + (xy 134.668984 116.439121) + (xy 134.782585 116.650445) + (xy 135.045261 116.775544) + (xy 135.327294 116.846995) + (xy 135.617848 116.86205) + (xy 135.905757 116.820131) + (xy 136.179955 116.722849) + (xy 136.315415 116.650445) + (xy 136.429016 116.439121) + (xy 135.549 115.559105) + (xy 134.668984 116.439121) + (xy 132.43651 116.439121) + (xy 132.456 116.341142) + (xy 132.456 115.941858) + (xy 132.378104 115.550246) + (xy 132.335897 115.448348) + (xy 134.06645 115.448348) + (xy 134.108369 115.736257) + (xy 134.205651 116.010455) + (xy 134.278055 116.145915) + (xy 134.489379 116.259516) + (xy 135.369395 115.3795) + (xy 135.728605 115.3795) + (xy 136.608621 116.259516) + (xy 136.794035 116.159843) + (xy 136.901739 116.321033) + (xy 137.107467 116.526761) + (xy 137.349378 116.688401) + (xy 137.618175 116.79974) + (xy 137.903528 116.8565) + (xy 138.194472 116.8565) + (xy 138.479825 116.79974) + (xy 138.748622 116.688401) + (xy 138.990533 116.526761) + (xy 139.196261 116.321033) + (xy 139.299 116.167273) + (xy 139.401739 116.321033) + (xy 139.607467 116.526761) + (xy 139.849378 116.688401) + (xy 140.118175 116.79974) + (xy 140.403528 116.8565) + (xy 140.694472 116.8565) + (xy 140.979825 116.79974) + (xy 141.248622 116.688401) + (xy 141.490533 116.526761) + (xy 141.578173 116.439121) + (xy 146.503484 116.439121) + (xy 146.617085 116.650445) + (xy 146.879761 116.775544) + (xy 147.161794 116.846995) + (xy 147.452348 116.86205) + (xy 147.740257 116.820131) + (xy 148.014455 116.722849) + (xy 148.149915 116.650445) + (xy 148.263516 116.439121) + (xy 147.3835 115.559105) + (xy 146.503484 116.439121) + (xy 141.578173 116.439121) + (xy 141.696261 116.321033) + (xy 141.857901 116.079122) + (xy 141.96924 115.810325) + (xy 142.026 115.524972) + (xy 142.026 115.448348) + (xy 145.90095 115.448348) + (xy 145.942869 115.736257) + (xy 146.040151 116.010455) + (xy 146.112555 116.145915) + (xy 146.323879 116.259516) + (xy 147.203895 115.3795) + (xy 146.323879 114.499484) + (xy 146.112555 114.613085) + (xy 145.987456 114.875761) + (xy 145.916005 115.157794) + (xy 145.90095 115.448348) + (xy 142.026 115.448348) + (xy 142.026 115.234028) + (xy 141.96924 114.948675) + (xy 141.857901 114.679878) + (xy 141.696261 114.437967) + (xy 141.490533 114.232239) + (xy 141.248622 114.070599) + (xy 140.979825 113.95926) + (xy 140.694472 113.9025) + (xy 140.403528 113.9025) + (xy 140.118175 113.95926) + (xy 139.849378 114.070599) + (xy 139.607467 114.232239) + (xy 139.401739 114.437967) + (xy 139.299 114.591727) + (xy 139.196261 114.437967) + (xy 138.990533 114.232239) + (xy 138.748622 114.070599) + (xy 138.479825 113.95926) + (xy 138.194472 113.9025) + (xy 137.903528 113.9025) + (xy 137.618175 113.95926) + (xy 137.349378 114.070599) + (xy 137.107467 114.232239) + (xy 136.901739 114.437967) + (xy 136.794035 114.599157) + (xy 136.608621 114.499484) + (xy 135.728605 115.3795) + (xy 135.369395 115.3795) + (xy 134.489379 114.499484) + (xy 134.278055 114.613085) + (xy 134.152956 114.875761) + (xy 134.081505 115.157794) + (xy 134.06645 115.448348) + (xy 132.335897 115.448348) + (xy 132.225304 115.181355) + (xy 132.003474 114.849363) + (xy 131.721137 114.567026) + (xy 131.389145 114.345196) + (xy 131.328025 114.319879) + (xy 134.668984 114.319879) + (xy 135.549 115.199895) + (xy 136.429016 114.319879) + (xy 136.315415 114.108555) + (xy 136.052739 113.983456) + (xy 135.770706 113.912005) + (xy 135.480152 113.89695) + (xy 135.192243 113.938869) + (xy 134.918045 114.036151) + (xy 134.782585 114.108555) + (xy 134.668984 114.319879) + (xy 131.328025 114.319879) + (xy 131.020254 114.192396) + (xy 130.628642 114.1145) + (xy 130.229358 114.1145) + (xy 129.837746 114.192396) + (xy 129.468855 114.345196) + (xy 129.136863 114.567026) + (xy 128.854526 114.849363) + (xy 128.632696 115.181355) + (xy 128.479896 115.550246) + (xy 128.402 115.941858) + (xy 127.071 115.941858) + (xy 127.071 84.068315) + (xy 127.112553 84.118948) + (xy 127.132662 84.135451) + (xy 128.04371 85.0465) + (xy 127.802665 85.287546) + (xy 127.782551 85.304053) + (xy 127.716695 85.384299) + (xy 127.683876 85.445701) + (xy 127.66776 85.475852) + (xy 127.637625 85.575192) + (xy 127.62745 85.678501) + (xy 127.629999 85.704382) + (xy 127.63 91.009644) + (xy 127.62745 91.035535) + (xy 127.637625 91.138844) + (xy 127.66776 91.238184) + (xy 127.716695 91.329736) + (xy 127.716696 91.329737) + (xy 127.782552 91.409983) + (xy 127.802661 91.426486) + (xy 129.692314 93.31614) + (xy 129.692271 93.316355) + (xy 129.692271 93.479259) + (xy 129.724053 93.639034) + (xy 129.786394 93.789538) + (xy 129.876899 93.924988) + (xy 129.99209 94.040179) + (xy 130.12754 94.130684) + (xy 130.259292 94.185257) + (xy 130.199089 94.24546) + (xy 130.059337 94.454614) + (xy 129.963074 94.687013) + (xy 129.914 94.933726) + (xy 129.914 95.185274) + (xy 129.963074 95.431987) + (xy 130.059337 95.664386) + (xy 130.199089 95.87354) + (xy 130.37696 96.051411) + (xy 130.586114 96.191163) + (xy 130.818513 96.287426) + (xy 131.030034 96.3295) + (xy 130.818513 96.371574) + (xy 130.586114 96.467837) + (xy 130.37696 96.607589) + (xy 130.199089 96.78546) + (xy 130.059337 96.994614) + (xy 129.963074 97.227013) + (xy 129.914 97.473726) + (xy 129.914 97.725274) + (xy 129.963074 97.971987) + (xy 130.059337 98.204386) + (xy 130.199089 98.41354) + (xy 130.37696 98.591411) + (xy 130.586114 98.731163) + (xy 130.818513 98.827426) + (xy 131.063998 98.876256) + (xy 131.063998 98.975574) + (xy 130.866786 98.904336) + (xy 130.632047 98.99132) + (xy 130.418788 99.122428) + (xy 130.235205 99.292622) + (xy 130.088353 99.495361) + (xy 129.983875 99.722854) + (xy 129.955842 99.815287) + (xy 130.027662 100.0125) + (xy 131.064 100.0125) + (xy 131.064 99.9925) + (xy 131.318 99.9925) + (xy 131.318 100.0125) + (xy 132.354338 100.0125) + (xy 132.426158 99.815287) + (xy 132.398125 99.722854) + (xy 132.293647 99.495361) + (xy 132.146795 99.292622) + (xy 131.963212 99.122428) + (xy 131.749953 98.99132) + (xy 131.515214 98.904336) + (xy 131.318002 98.975574) + (xy 131.318002 98.876256) + (xy 131.563487 98.827426) + (xy 131.795886 98.731163) + (xy 132.00504 98.591411) + (xy 132.182911 98.41354) + (xy 132.322663 98.204386) + (xy 132.418926 97.971987) + (xy 132.46384 97.746189) + (xy 132.84113 97.746189) + (xy 132.867011 97.748738) + (xy 132.892892 97.746189) + (xy 132.970321 97.738563) + (xy 133.069661 97.708428) + (xy 133.161213 97.659493) + (xy 133.241459 97.593637) + (xy 133.257966 97.573523) + (xy 133.58135 97.25014) + (xy 133.601459 97.233637) + (xy 133.667315 97.153391) + (xy 133.71625 97.061839) + (xy 133.746385 96.962499) + (xy 133.754011 96.88507) + (xy 133.75656 96.859189) + (xy 133.754011 96.833308) + (xy 133.754011 94.6868) + (xy 133.871934 94.804724) + (xy 133.871934 94.9435) + (xy 133.880178 95.027207) + (xy 133.904595 95.107696) + (xy 133.944245 95.181876) + (xy 133.980054 95.225509) + (xy 133.960806 95.241306) + (xy 133.889103 95.328677) + (xy 133.835822 95.428358) + (xy 133.803013 95.536518) + (xy 133.791934 95.649) + (xy 133.791934 95.994) + (xy 133.803013 96.106482) + (xy 133.835822 96.214642) + (xy 133.889103 96.314323) + (xy 133.960806 96.401694) + (xy 133.973999 96.412521) + (xy 133.974001 98.984866) + (xy 133.944245 99.021124) + (xy 133.904595 99.095304) + (xy 133.880178 99.175793) + (xy 133.871934 99.2595) + (xy 133.871934 99.7695) + (xy 133.880178 99.853207) + (xy 133.904595 99.933696) + (xy 133.944245 100.007876) + (xy 133.980054 100.051509) + (xy 133.960806 100.067306) + (xy 133.889103 100.154677) + (xy 133.835822 100.254358) + (xy 133.803013 100.362518) + (xy 133.791934 100.475) + (xy 133.791934 100.626276) + (xy 132.470066 101.948145) + (xy 132.470066 101.8295) + (xy 132.461822 101.745793) + (xy 132.437405 101.665304) + (xy 132.397755 101.591124) + (xy 132.344395 101.526105) + (xy 132.279376 101.472745) + (xy 132.205196 101.433095) + (xy 132.124707 101.408678) + (xy 132.041 101.400434) + (xy 131.318002 101.400434) + (xy 131.318002 101.303426) + (xy 131.515214 101.374664) + (xy 131.749953 101.28768) + (xy 131.963212 101.156572) + (xy 132.146795 100.986378) + (xy 132.293647 100.783639) + (xy 132.398125 100.556146) + (xy 132.426158 100.463713) + (xy 132.354338 100.2665) + (xy 131.318 100.2665) + (xy 131.318 100.2865) + (xy 131.064 100.2865) + (xy 131.064 100.2665) + (xy 130.027662 100.2665) + (xy 129.955842 100.463713) + (xy 129.983875 100.556146) + (xy 130.088353 100.783639) + (xy 130.235205 100.986378) + (xy 130.418788 101.156572) + (xy 130.632047 101.28768) + (xy 130.866786 101.374664) + (xy 131.063998 101.303426) + (xy 131.063998 101.400434) + (xy 130.341 101.400434) + (xy 130.257293 101.408678) + (xy 130.176804 101.433095) + (xy 130.102624 101.472745) + (xy 130.037605 101.526105) + (xy 129.984245 101.591124) + (xy 129.944595 101.665304) + (xy 129.920178 101.745793) + (xy 129.911934 101.8295) + (xy 129.911934 103.5295) + (xy 129.920178 103.613207) + (xy 129.944595 103.693696) + (xy 129.984245 103.767876) + (xy 130.037605 103.832895) + (xy 130.102624 103.886255) + (xy 130.176804 103.925905) + (xy 130.257293 103.950322) + (xy 130.341 103.958566) + (xy 132.041 103.958566) + (xy 132.083993 103.954332) + (xy 132.083992 107.250611) + (xy 132.081443 107.276492) + (xy 132.083992 107.302372) + (xy 132.091618 107.379801) + (xy 132.121753 107.479141) + (xy 132.170688 107.570694) + (xy 132.236544 107.65094) + (xy 132.256658 107.667447) + (xy 133.66321 109.074) + (xy 132.117407 109.074) + (xy 132.111822 109.017293) + (xy 132.087405 108.936804) + (xy 132.047755 108.862624) + (xy 131.994395 108.797605) + (xy 131.994216 108.797458) + (xy 132.012496 108.770099) + (xy 132.082376 108.601396) + (xy 132.118 108.422301) + (xy 132.118 108.239699) + (xy 132.082376 108.060604) + (xy 132.012496 107.891901) + (xy 131.911048 107.740072) + (xy 131.781928 107.610952) + (xy 131.630099 107.509504) + (xy 131.461396 107.439624) + (xy 131.282301 107.404) + (xy 131.099699 107.404) + (xy 130.920604 107.439624) + (xy 130.751901 107.509504) + (xy 130.600072 107.610952) + (xy 130.470952 107.740072) + (xy 130.369504 107.891901) + (xy 130.299624 108.060604) + (xy 130.264 108.239699) + (xy 130.264 108.422301) + (xy 130.299624 108.601396) + (xy 130.369504 108.770099) + (xy 130.387784 108.797458) + (xy 130.387605 108.797605) + (xy 130.334245 108.862624) + (xy 130.294595 108.936804) + (xy 130.270178 109.017293) + (xy 130.261934 109.101) + (xy 130.261934 110.101) + (xy 130.270178 110.184707) + (xy 130.294595 110.265196) + (xy 130.334245 110.339376) + (xy 130.387605 110.404395) + (xy 130.452624 110.457755) + (xy 130.526804 110.497405) + (xy 130.607293 110.521822) + (xy 130.691 110.530066) + (xy 131.691 110.530066) + (xy 131.774707 110.521822) + (xy 131.855196 110.497405) + (xy 131.929376 110.457755) + (xy 131.994395 110.404395) + (xy 132.047755 110.339376) + (xy 132.087405 110.265196) + (xy 132.111822 110.184707) + (xy 132.117407 110.128) + (xy 133.791934 110.128) + (xy 133.791934 110.472) + (xy 133.803013 110.584482) + (xy 133.835822 110.692642) + (xy 133.889103 110.792323) + (xy 133.960806 110.879694) + (xy 134.048177 110.951397) + (xy 134.147858 111.004678) + (xy 134.158597 111.007936) + (xy 134.161662 111.010451) + (xy 136.281552 113.130342) + (xy 136.29805 113.150446) + (xy 136.378296 113.216302) + (xy 136.469848 113.265237) + (xy 136.569188 113.295372) + (xy 136.672498 113.305547) + (xy 136.698379 113.302998) + (xy 137.406507 113.302998) + (xy 137.406628 113.303179) + (xy 137.521819 113.41837) + (xy 137.657269 113.508875) + (xy 137.807773 113.571216) + (xy 137.967548 113.602998) + (xy 138.130452 113.602998) + (xy 138.290227 113.571216) + (xy 138.440731 113.508875) + (xy 138.576181 113.41837) + (xy 138.691372 113.303179) + (xy 138.781877 113.167729) + (xy 138.844218 113.017225) + (xy 138.876 112.85745) + (xy 138.876 112.694546) + (xy 138.844218 112.534771) + (xy 138.781877 112.384267) + (xy 138.691372 112.248817) + (xy 138.576181 112.133626) + (xy 138.440731 112.043121) + (xy 138.290227 111.98078) + (xy 138.130452 111.948998) + (xy 137.967548 111.948998) + (xy 137.807773 111.98078) + (xy 137.657269 112.043121) + (xy 137.521819 112.133626) + (xy 137.406628 112.248817) + (xy 137.406507 112.248998) + (xy 136.890789 112.248998) + (xy 135.685258 111.043468) + (xy 135.745982 111.037487) + (xy 135.854142 111.004678) + (xy 135.953823 110.951397) + (xy 136.041194 110.879694) + (xy 136.083208 110.8285) + (xy 136.189519 110.8285) + (xy 136.195145 110.835355) + (xy 136.280622 110.905504) + (xy 136.378141 110.957629) + (xy 136.483956 110.989728) + (xy 136.594 111.000566) + (xy 136.964 111.000566) + (xy 137.074044 110.989728) + (xy 137.179859 110.957629) + (xy 137.277378 110.905504) + (xy 137.362855 110.835355) + (xy 137.433004 110.749878) + (xy 137.485129 110.652359) + (xy 137.517228 110.546544) + (xy 137.528066 110.4365) + (xy 137.528066 110.1665) + (xy 137.517228 110.056456) + (xy 137.485129 109.950641) + (xy 137.433004 109.853122) + (xy 137.382432 109.7915) + (xy 137.433004 109.729878) + (xy 137.485129 109.632359) + (xy 137.517228 109.526544) + (xy 137.528066 109.4165) + (xy 137.528066 109.1465) + (xy 137.517228 109.036456) + (xy 137.485129 108.930641) + (xy 137.433004 108.833122) + (xy 137.362855 108.747645) + (xy 137.277378 108.677496) + (xy 137.179859 108.625371) + (xy 137.074044 108.593272) + (xy 136.964 108.582434) + (xy 136.594 108.582434) + (xy 136.483956 108.593272) + (xy 136.39283 108.620915) + (xy 136.462329 108.551416) + (xy 136.54189 108.432344) + (xy 136.553838 108.4035) + (xy 136.719623 108.4035) + (xy 136.745504 108.406049) + (xy 136.771385 108.4035) + (xy 136.848814 108.395874) + (xy 136.948154 108.365739) + (xy 137.039706 108.316804) + (xy 137.119952 108.250948) + (xy 137.136459 108.230834) + (xy 137.579346 107.787949) + (xy 137.59945 107.77145) + (xy 137.665306 107.691204) + (xy 137.714241 107.599652) + (xy 137.744376 107.500312) + (xy 137.752002 107.422883) + (xy 137.752002 107.422882) + (xy 137.754551 107.397002) + (xy 137.752002 107.371121) + (xy 137.752002 107.304502) + (xy 137.753409 107.304782) + (xy 137.896615 107.304782) + (xy 138.03707 107.276844) + (xy 138.169376 107.222041) + (xy 138.288448 107.14248) + (xy 138.38971 107.041218) + (xy 138.469271 106.922146) + (xy 138.524074 106.78984) + (xy 138.552012 106.649385) + (xy 138.552012 106.596072) + (xy 139.070237 106.077847) + (xy 139.128177 106.125397) + (xy 139.227858 106.178678) + (xy 139.336018 106.211487) + (xy 139.4485 106.222566) + (xy 139.7435 106.222566) + (xy 139.855982 106.211487) + (xy 139.964142 106.178678) + (xy 140.063823 106.125397) + (xy 140.081 106.1113) + (xy 140.098177 106.125397) + (xy 140.197858 106.178678) + (xy 140.306018 106.211487) + (xy 140.4185 106.222566) + (xy 140.7135 106.222566) + (xy 140.825982 106.211487) + (xy 140.934142 106.178678) + (xy 141.033823 106.125397) + (xy 141.121194 106.053694) + (xy 141.164849 106.0005) + (xy 141.267878 106.0005) + (xy 141.275145 106.009355) + (xy 141.360622 106.079504) + (xy 141.458141 106.131629) + (xy 141.563956 106.163728) + (xy 141.674 106.174566) + (xy 141.952011 106.174566) + (xy 141.952011 106.695357) + (xy 139.435878 109.211491) + (xy 139.382564 109.211491) + (xy 139.242109 109.239429) + (xy 139.109803 109.294232) + (xy 138.990731 109.373793) + (xy 138.889469 109.475055) + (xy 138.809908 109.594127) + (xy 138.755105 109.726433) + (xy 138.727167 109.866888) + (xy 138.727167 110.010094) + (xy 138.755105 110.150549) + (xy 138.809908 110.282855) + (xy 138.889469 110.401927) + (xy 138.990731 110.503189) + (xy 139.109803 110.58275) + (xy 139.242109 110.637553) + (xy 139.382564 110.665491) + (xy 139.52577 110.665491) + (xy 139.666225 110.637553) + (xy 139.798531 110.58275) + (xy 139.917603 110.503189) + (xy 140.018865 110.401927) + (xy 140.098426 110.282855) + (xy 140.153229 110.150549) + (xy 140.181167 110.010094) + (xy 140.181167 109.95678) + (xy 142.833349 107.304599) + (xy 142.853458 107.288096) + (xy 142.919314 107.20785) + (xy 142.968249 107.116298) + (xy 142.998384 107.016958) + (xy 143.00601 106.939529) + (xy 143.008559 106.913648) + (xy 143.00601 106.887767) + (xy 143.00601 105.101391) + (xy 143.008559 105.07551) + (xy 143.004392 105.033196) + (xy 142.998384 104.9722) + (xy 142.968249 104.87286) + (xy 142.919314 104.781308) + (xy 142.853458 104.701062) + (xy 142.833354 104.684563) + (xy 142.608066 104.459276) + (xy 142.608066 104.3205) + (xy 142.597228 104.210456) + (xy 142.576007 104.1405) + (xy 143.42 104.1405) + (xy 143.42 104.245103) + (xy 143.447938 104.385558) + (xy 143.502741 104.517864) + (xy 143.582302 104.636936) + (xy 143.620001 104.674635) + (xy 143.62 109.617119) + (xy 143.617451 109.643) + (xy 143.624822 109.717844) + (xy 143.627626 109.746309) + (xy 143.657761 109.845649) + (xy 143.706696 109.937202) + (xy 143.772552 110.017448) + (xy 143.792666 110.033955) + (xy 147.692943 113.934232) + (xy 147.605206 113.912005) + (xy 147.314652 113.89695) + (xy 147.026743 113.938869) + (xy 146.752545 114.036151) + (xy 146.617085 114.108555) + (xy 146.503484 114.319879) + (xy 147.3835 115.199895) + (xy 147.397643 115.185753) + (xy 147.577248 115.365358) + (xy 147.563105 115.3795) + (xy 148.443121 116.259516) + (xy 148.628535 116.159843) + (xy 148.736239 116.321033) + (xy 148.941967 116.526761) + (xy 149.183878 116.688401) + (xy 149.452675 116.79974) + (xy 149.738028 116.8565) + (xy 150.028972 116.8565) + (xy 150.314325 116.79974) + (xy 150.583122 116.688401) + (xy 150.825033 116.526761) + (xy 151.030761 116.321033) + (xy 151.1335 116.167273) + (xy 151.236239 116.321033) + (xy 151.441967 116.526761) + (xy 151.683878 116.688401) + (xy 151.952675 116.79974) + (xy 152.238028 116.8565) + (xy 152.528972 116.8565) + (xy 152.814325 116.79974) + (xy 153.083122 116.688401) + (xy 153.325033 116.526761) + (xy 153.530761 116.321033) + (xy 153.692401 116.079122) + (xy 153.749257 115.941858) + (xy 155.072 115.941858) + (xy 155.072 116.341142) + (xy 155.149896 116.732754) + (xy 155.302696 117.101645) + (xy 155.524526 117.433637) + (xy 155.806863 117.715974) + (xy 156.138855 117.937804) + (xy 156.507746 118.090604) + (xy 156.899358 118.1685) + (xy 157.298642 118.1685) + (xy 157.690254 118.090604) + (xy 158.059145 117.937804) + (xy 158.391137 117.715974) + (xy 158.673474 117.433637) + (xy 158.895304 117.101645) + (xy 159.048104 116.732754) + (xy 159.126 116.341142) + (xy 159.126 115.941858) + (xy 159.048104 115.550246) + (xy 158.895304 115.181355) + (xy 158.673474 114.849363) + (xy 158.391137 114.567026) + (xy 158.059145 114.345196) + (xy 157.690254 114.192396) + (xy 157.298642 114.1145) + (xy 156.899358 114.1145) + (xy 156.507746 114.192396) + (xy 156.138855 114.345196) + (xy 155.806863 114.567026) + (xy 155.524526 114.849363) + (xy 155.302696 115.181355) + (xy 155.149896 115.550246) + (xy 155.072 115.941858) + (xy 153.749257 115.941858) + (xy 153.80374 115.810325) + (xy 153.8605 115.524972) + (xy 153.8605 115.234028) + (xy 153.80374 114.948675) + (xy 153.692401 114.679878) + (xy 153.530761 114.437967) + (xy 153.325033 114.232239) + (xy 153.083122 114.070599) + (xy 152.814325 113.95926) + (xy 152.528972 113.9025) + (xy 152.238028 113.9025) + (xy 151.952675 113.95926) + (xy 151.683878 114.070599) + (xy 151.441967 114.232239) + (xy 151.236239 114.437967) + (xy 151.1335 114.591727) + (xy 151.030761 114.437967) + (xy 150.825033 114.232239) + (xy 150.583122 114.070599) + (xy 150.314325 113.95926) + (xy 150.028972 113.9025) + (xy 149.738028 113.9025) + (xy 149.452675 113.95926) + (xy 149.280052 114.030762) + (xy 144.674 109.424711) + (xy 144.674 104.674634) + (xy 144.711698 104.636936) + (xy 144.791259 104.517864) + (xy 144.846062 104.385558) + (xy 144.874 104.245103) + (xy 144.874 104.101897) + (xy 144.873722 104.100498) + (xy 145.961709 104.100498) + (xy 146.317934 104.456724) + (xy 146.317934 104.5955) + (xy 146.326178 104.679207) + (xy 146.350595 104.759696) + (xy 146.390245 104.833876) + (xy 146.426054 104.877509) + (xy 146.406806 104.893306) + (xy 146.335103 104.980677) + (xy 146.281822 105.080358) + (xy 146.249013 105.188518) + (xy 146.237934 105.301) + (xy 146.237934 105.646) + (xy 146.249013 105.758482) + (xy 146.281822 105.866642) + (xy 146.335103 105.966323) + (xy 146.406806 106.053694) + (xy 146.494177 106.125397) + (xy 146.593858 106.178678) + (xy 146.702018 106.211487) + (xy 146.743815 106.215604) + (xy 147.176049 106.647839) + (xy 147.192552 106.667948) + (xy 147.272798 106.733804) + (xy 147.360032 106.780431) + (xy 147.36435 106.782739) + (xy 147.46369 106.812874) + (xy 147.566999 106.823049) + (xy 147.59288 106.8205) + (xy 148.881119 106.8205) + (xy 148.907 106.823049) + (xy 148.932881 106.8205) + (xy 149.01031 106.812874) + (xy 149.10965 106.782739) + (xy 149.201202 106.733804) + (xy 149.281448 106.667948) + (xy 149.297955 106.647834) + (xy 149.579334 106.366455) + (xy 149.599448 106.349948) + (xy 149.665304 106.269702) + (xy 149.714239 106.17815) + (xy 149.744374 106.07881) + (xy 149.746146 106.060819) + (xy 149.808855 106.009355) + (xy 149.879004 105.923878) + (xy 149.931129 105.826359) + (xy 149.963228 105.720544) + (xy 149.974066 105.6105) + (xy 149.974066 105.3405) + (xy 149.963228 105.230456) + (xy 149.931129 105.124641) + (xy 149.879004 105.027122) + (xy 149.828432 104.9655) + (xy 149.879004 104.903878) + (xy 149.931129 104.806359) + (xy 149.963228 104.700544) + (xy 149.974066 104.5905) + (xy 149.974066 104.3205) + (xy 149.963228 104.210456) + (xy 149.931129 104.104641) + (xy 149.879004 104.007122) + (xy 149.808855 103.921645) + (xy 149.723378 103.851496) + (xy 149.625859 103.799371) + (xy 149.520044 103.767272) + (xy 149.41 103.756434) + (xy 149.04 103.756434) + (xy 148.929956 103.767272) + (xy 148.824141 103.799371) + (xy 148.726622 103.851496) + (xy 148.641145 103.921645) + (xy 148.635519 103.9285) + (xy 148.545588 103.9285) + (xy 148.543405 103.921304) + (xy 148.526935 103.890491) + (xy 148.616966 103.872583) + (xy 148.749272 103.81778) + (xy 148.868344 103.738219) + (xy 148.969606 103.636957) + (xy 149.049167 103.517885) + (xy 149.10397 103.385579) + (xy 149.131908 103.245124) + (xy 149.131908 103.101918) + (xy 149.117704 103.030511) + (xy 149.871108 103.030511) + (xy 149.896989 103.03306) + (xy 149.92287 103.030511) + (xy 150.000299 103.022885) + (xy 150.099639 102.99275) + (xy 150.139601 102.97139) + (xy 151.397934 104.229724) + (xy 151.397934 104.5955) + (xy 151.406178 104.679207) + (xy 151.430595 104.759696) + (xy 151.470245 104.833876) + (xy 151.506054 104.877509) + (xy 151.486806 104.893306) + (xy 151.415103 104.980677) + (xy 151.361822 105.080358) + (xy 151.329013 105.188518) + (xy 151.317934 105.301) + (xy 151.317934 105.412225) + (xy 151.188603 105.3865) + (xy 151.045397 105.3865) + (xy 150.904942 105.414438) + (xy 150.772636 105.469241) + (xy 150.653564 105.548802) + (xy 150.552302 105.650064) + (xy 150.472741 105.769136) + (xy 150.417938 105.901442) + (xy 150.39 106.041897) + (xy 150.39 106.185103) + (xy 150.417938 106.325558) + (xy 150.472741 106.457864) + (xy 150.552302 106.576936) + (xy 150.653564 106.678198) + (xy 150.772636 106.757759) + (xy 150.904942 106.812562) + (xy 151.045397 106.8405) + (xy 151.09871 106.8405) + (xy 151.568043 107.309834) + (xy 151.584542 107.329938) + (xy 151.664788 107.395794) + (xy 151.75634 107.444729) + (xy 151.85568 107.474864) + (xy 151.95899 107.485039) + (xy 151.984871 107.48249) + (xy 151.994955 107.48249) + (xy 151.978178 107.537793) + (xy 151.969934 107.6215) + (xy 151.972 107.64275) + (xy 152.07875 107.7495) + (xy 152.526 107.7495) + (xy 152.526 107.7295) + (xy 152.672 107.7295) + (xy 152.672 107.7495) + (xy 153.11925 107.7495) + (xy 153.226 107.64275) + (xy 153.228066 107.6215) + (xy 153.219822 107.537793) + (xy 153.203045 107.48249) + (xy 154.398011 107.48249) + (xy 154.39801 108.443199) + (xy 154.258776 108.582434) + (xy 154.12 108.582434) + (xy 154.009956 108.593272) + (xy 153.904141 108.625371) + (xy 153.877708 108.6395) + (xy 153.62816 108.6395) + (xy 153.602395 108.608105) + (xy 153.537376 108.554745) + (xy 153.463196 108.515095) + (xy 153.382707 108.490678) + (xy 153.299 108.482434) + (xy 153.044469 108.482434) + (xy 153.102395 108.434895) + (xy 153.155755 108.369876) + (xy 153.195405 108.295696) + (xy 153.219822 108.215207) + (xy 153.228066 108.1315) + (xy 153.226 108.11025) + (xy 153.11925 108.0035) + (xy 152.672 108.0035) + (xy 152.672 108.45175) + (xy 152.735219 108.514969) + (xy 152.734804 108.515095) + (xy 152.660624 108.554745) + (xy 152.599 108.605319) + (xy 152.537376 108.554745) + (xy 152.463196 108.515095) + (xy 152.462781 108.514969) + (xy 152.526 108.45175) + (xy 152.526 108.0035) + (xy 152.07875 108.0035) + (xy 151.972 108.11025) + (xy 151.969934 108.1315) + (xy 151.978178 108.215207) + (xy 152.002595 108.295696) + (xy 152.042245 108.369876) + (xy 152.095605 108.434895) + (xy 152.153531 108.482434) + (xy 151.899 108.482434) + (xy 151.815293 108.490678) + (xy 151.734804 108.515095) + (xy 151.660624 108.554745) + (xy 151.595605 108.608105) + (xy 151.56984 108.6395) + (xy 151.539877 108.6395) + (xy 151.513999 108.636951) + (xy 151.488121 108.6395) + (xy 151.488119 108.6395) + (xy 151.41069 108.647126) + (xy 151.31135 108.677261) + (xy 151.219798 108.726196) + (xy 151.139552 108.792052) + (xy 151.123045 108.812166) + (xy 150.862666 109.072545) + (xy 150.842552 109.089052) + (xy 150.776696 109.169298) + (xy 150.729285 109.258) + (xy 150.727761 109.260851) + (xy 150.697626 109.360191) + (xy 150.687451 109.4635) + (xy 150.69 109.489381) + (xy 150.690001 110.3965) + (xy 149.32538 110.3965) + (xy 149.299499 110.393951) + (xy 149.227604 110.401032) + (xy 149.19619 110.404126) + (xy 149.097705 110.434002) + (xy 149.052452 110.425) + (xy 148.889548 110.425) + (xy 148.729773 110.456782) + (xy 148.579269 110.519123) + (xy 148.443819 110.609628) + (xy 148.328628 110.724819) + (xy 148.238123 110.860269) + (xy 148.175782 111.010773) + (xy 148.144 111.170548) + (xy 148.144 111.333452) + (xy 148.175782 111.493227) + (xy 148.238123 111.643731) + (xy 148.328628 111.779181) + (xy 148.443819 111.894372) + (xy 148.579269 111.984877) + (xy 148.729773 112.047218) + (xy 148.889548 112.079) + (xy 149.052452 112.079) + (xy 149.212227 112.047218) + (xy 149.362731 111.984877) + (xy 149.498181 111.894372) + (xy 149.613372 111.779181) + (xy 149.703877 111.643731) + (xy 149.766218 111.493227) + (xy 149.774717 111.4505) + (xy 150.998711 111.4505) + (xy 151.446049 111.897839) + (xy 151.462552 111.917948) + (xy 151.542798 111.983804) + (xy 151.63435 112.032739) + (xy 151.73369 112.062874) + (xy 151.811119 112.0705) + (xy 151.811121 112.0705) + (xy 151.836999 112.073049) + (xy 151.862877 112.0705) + (xy 154.531119 112.0705) + (xy 154.557 112.073049) + (xy 154.582881 112.0705) + (xy 154.66031 112.062874) + (xy 154.75965 112.032739) + (xy 154.851202 111.983804) + (xy 154.931448 111.917948) + (xy 154.947955 111.897834) + (xy 155.679365 111.166426) + (xy 155.699469 111.149927) + (xy 155.765325 111.069681) + (xy 155.81426 110.978129) + (xy 155.844395 110.878789) + (xy 155.852021 110.80136) + (xy 155.852021 110.801359) + (xy 155.85457 110.775479) + (xy 155.852021 110.749598) + (xy 155.852021 103.958566) + (xy 157.441 103.958566) + (xy 157.524707 103.950322) + (xy 157.605196 103.925905) + (xy 157.679376 103.886255) + (xy 157.744395 103.832895) + (xy 157.797755 103.767876) + (xy 157.837405 103.693696) + (xy 157.861822 103.613207) + (xy 157.870066 103.5295) + (xy 157.870066 101.8295) + (xy 157.861822 101.745793) + (xy 157.837405 101.665304) + (xy 157.797755 101.591124) + (xy 157.744395 101.526105) + (xy 157.679376 101.472745) + (xy 157.605196 101.433095) + (xy 157.524707 101.408678) + (xy 157.441 101.400434) + (xy 156.718002 101.400434) + (xy 156.718002 101.303426) + (xy 156.915214 101.374664) + (xy 157.149953 101.28768) + (xy 157.363212 101.156572) + (xy 157.546795 100.986378) + (xy 157.693647 100.783639) + (xy 157.798125 100.556146) + (xy 157.826158 100.463713) + (xy 157.754338 100.2665) + (xy 156.718 100.2665) + (xy 156.718 100.2865) + (xy 156.464 100.2865) + (xy 156.464 100.2665) + (xy 156.444 100.2665) + (xy 156.444 100.0125) + (xy 156.464 100.0125) + (xy 156.464 99.9925) + (xy 156.718 99.9925) + (xy 156.718 100.0125) + (xy 157.754338 100.0125) + (xy 157.826158 99.815287) + (xy 157.798125 99.722854) + (xy 157.693647 99.495361) + (xy 157.546795 99.292622) + (xy 157.363212 99.122428) + (xy 157.149953 98.99132) + (xy 156.915214 98.904336) + (xy 156.718002 98.975574) + (xy 156.718002 98.876256) + (xy 156.963487 98.827426) + (xy 157.195886 98.731163) + (xy 157.40504 98.591411) + (xy 157.582911 98.41354) + (xy 157.722663 98.204386) + (xy 157.818926 97.971987) + (xy 157.868 97.725274) + (xy 157.868 97.473726) + (xy 157.818926 97.227013) + (xy 157.786664 97.149126) + (xy 158.388355 96.547436) + (xy 158.408459 96.530937) + (xy 158.474315 96.450691) + (xy 158.52325 96.359139) + (xy 158.553385 96.259799) + (xy 158.561011 96.18237) + (xy 158.561011 96.182369) + (xy 158.56356 96.156489) + (xy 158.561011 96.130608) + (xy 158.561011 93.734402) + (xy 158.56356 93.708521) + (xy 158.553385 93.605211) + (xy 158.548374 93.588692) + (xy 158.52325 93.505871) + (xy 158.474315 93.414319) + (xy 158.408459 93.334073) + (xy 158.38835 93.31757) + (xy 158.33399 93.26321) + (xy 158.33399 93.209897) + (xy 158.306052 93.069442) + (xy 158.251249 92.937136) + (xy 158.171688 92.818064) + (xy 158.070426 92.716802) + (xy 157.951354 92.637241) + (xy 157.819048 92.582438) + (xy 157.678593 92.5545) + (xy 157.535387 92.5545) + (xy 157.394932 92.582438) + (xy 157.305171 92.619618) + (xy 157.715339 92.209451) + (xy 157.735448 92.192948) + (xy 157.801304 92.112702) + (xy 157.850239 92.02115) + (xy 157.880374 91.92181) + (xy 157.888 91.844381) + (xy 157.888 91.844379) + (xy 157.890549 91.818501) + (xy 157.888 91.792623) + (xy 157.888 91.385708) + (xy 157.942741 91.517864) + (xy 158.022302 91.636936) + (xy 158.123564 91.738198) + (xy 158.242636 91.817759) + (xy 158.374942 91.872562) + (xy 158.515397 91.9005) + (xy 158.658603 91.9005) + (xy 158.799058 91.872562) + (xy 158.931364 91.817759) + (xy 159.050436 91.738198) + (xy 159.151698 91.636936) + (xy 159.231259 91.517864) + (xy 159.286062 91.385558) + (xy 159.314 91.245103) + (xy 159.314 91.101897) + (xy 159.286062 90.961442) + (xy 159.231259 90.829136) + (xy 159.151698 90.710064) + (xy 159.050436 90.608802) + (xy 158.931364 90.529241) + (xy 158.799058 90.474438) + (xy 158.658603 90.4465) + (xy 158.60529 90.4465) + (xy 158.388 90.229211) + (xy 158.388 89.824661) + (xy 158.392636 89.827759) + (xy 158.524942 89.882562) + (xy 158.665397 89.9105) + (xy 158.808603 89.9105) + (xy 158.949058 89.882562) + (xy 159.081364 89.827759) + (xy 159.200436 89.748198) + (xy 159.301698 89.646936) + (xy 159.381259 89.527864) + (xy 159.436062 89.395558) + (xy 159.464 89.255103) + (xy 159.464 89.111897) + (xy 159.436062 88.971442) + (xy 159.381259 88.839136) + (xy 159.301698 88.720064) + (xy 159.200436 88.618802) + (xy 159.081364 88.539241) + (xy 158.949058 88.484438) + (xy 158.888 88.472293) + (xy 158.888 86.836132) + (xy 158.917755 86.799876) + (xy 158.957405 86.725696) + (xy 158.981822 86.645207) + (xy 158.990066 86.5615) + (xy 158.990066 86.297723) + (xy 159.685224 85.602566) + (xy 159.824 85.602566) + (xy 159.934044 85.591728) + (xy 160.039859 85.559629) + (xy 160.137378 85.507504) + (xy 160.222855 85.437355) + (xy 160.293004 85.351878) + (xy 160.345129 85.254359) + (xy 160.377228 85.148544) + (xy 160.388066 85.0385) + (xy 160.388066 84.7685) + (xy 160.377228 84.658456) + (xy 160.345129 84.552641) + (xy 160.293004 84.455122) + (xy 160.242432 84.3935) + (xy 160.293004 84.331878) + (xy 160.345129 84.234359) + (xy 160.377228 84.128544) + (xy 160.388066 84.0185) + (xy 160.388066 83.7485) + (xy 160.377228 83.638456) + (xy 160.345129 83.532641) + (xy 160.293004 83.435122) + (xy 160.222855 83.349645) + (xy 160.137378 83.279496) + (xy 160.079389 83.2485) + (xy 160.137378 83.217504) + (xy 160.222855 83.147355) + (xy 160.293004 83.061878) + (xy 160.345129 82.964359) + (xy 160.377228 82.858544) + (xy 160.388066 82.7485) + (xy 160.388066 82.697724) + (xy 160.457001 82.628789) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 151.397934 104.229724) (xy 151.397934 104.5955) (xy 151.406178 104.679207) (xy 151.430595 104.759696) (xy 151.470245 104.833876) - (xy 151.506054 104.877509) (xy 151.486806 104.893306) (xy 151.415103 104.980677) (xy 151.361822 105.080358) (xy 151.329013 105.188518) - (xy 151.317934 105.301) (xy 151.317934 105.412225) (xy 151.188603 105.3865) (xy 151.045397 105.3865) (xy 150.904942 105.414438) - (xy 150.772636 105.469241) (xy 150.653564 105.548802) (xy 150.552302 105.650064) (xy 150.472741 105.769136) (xy 150.417938 105.901442) - (xy 150.39 106.041897) (xy 150.39 106.185103) (xy 150.417938 106.325558) (xy 150.472741 106.457864) (xy 150.552302 106.576936) - (xy 150.653564 106.678198) (xy 150.772636 106.757759) (xy 150.904942 106.812562) (xy 151.045397 106.8405) (xy 151.09871 106.8405) - (xy 151.568043 107.309834) (xy 151.584542 107.329938) (xy 151.664788 107.395794) (xy 151.75634 107.444729) (xy 151.816155 107.462874) - (xy 151.85568 107.474864) (xy 151.95899 107.485039) (xy 151.984871 107.48249) (xy 151.994955 107.48249) (xy 151.978178 107.537793) - (xy 151.969934 107.6215) (xy 151.972 107.64275) (xy 152.07875 107.7495) (xy 152.526 107.7495) (xy 152.526 107.7295) - (xy 152.672 107.7295) (xy 152.672 107.7495) (xy 153.11925 107.7495) (xy 153.226 107.64275) (xy 153.228066 107.6215) - (xy 153.219822 107.537793) (xy 153.203045 107.48249) (xy 154.398011 107.48249) (xy 154.39801 108.443199) (xy 154.258776 108.582434) - (xy 154.12 108.582434) (xy 154.009956 108.593272) (xy 153.904141 108.625371) (xy 153.877708 108.6395) (xy 153.62816 108.6395) - (xy 153.602395 108.608105) (xy 153.537376 108.554745) (xy 153.463196 108.515095) (xy 153.382707 108.490678) (xy 153.299 108.482434) - (xy 153.044469 108.482434) (xy 153.102395 108.434895) (xy 153.155755 108.369876) (xy 153.195405 108.295696) (xy 153.219822 108.215207) - (xy 153.228066 108.1315) (xy 153.226 108.11025) (xy 153.11925 108.0035) (xy 152.672 108.0035) (xy 152.672 108.45175) - (xy 152.735219 108.514969) (xy 152.734804 108.515095) (xy 152.660624 108.554745) (xy 152.599 108.605319) (xy 152.537376 108.554745) - (xy 152.463196 108.515095) (xy 152.462781 108.514969) (xy 152.526 108.45175) (xy 152.526 108.0035) (xy 152.07875 108.0035) - (xy 151.972 108.11025) (xy 151.969934 108.1315) (xy 151.978178 108.215207) (xy 152.002595 108.295696) (xy 152.042245 108.369876) - (xy 152.095605 108.434895) (xy 152.153531 108.482434) (xy 151.899 108.482434) (xy 151.815293 108.490678) (xy 151.734804 108.515095) - (xy 151.660624 108.554745) (xy 151.595605 108.608105) (xy 151.56984 108.6395) (xy 151.539877 108.6395) (xy 151.513999 108.636951) - (xy 151.488121 108.6395) (xy 151.488119 108.6395) (xy 151.41069 108.647126) (xy 151.31135 108.677261) (xy 151.219798 108.726196) - (xy 151.139552 108.792052) (xy 151.123045 108.812166) (xy 150.862666 109.072545) (xy 150.842552 109.089052) (xy 150.776696 109.169298) - (xy 150.756518 109.207049) (xy 150.727761 109.260851) (xy 150.697626 109.360191) (xy 150.687451 109.4635) (xy 150.69 109.489381) - (xy 150.690001 110.3965) (xy 147.610881 110.3965) (xy 147.585 110.393951) (xy 147.559119 110.3965) (xy 147.48169 110.404126) - (xy 147.38235 110.434261) (xy 147.290798 110.483196) (xy 147.282407 110.490082) (xy 147.274452 110.4885) (xy 147.111548 110.4885) - (xy 146.951773 110.520282) (xy 146.801269 110.582623) (xy 146.665819 110.673128) (xy 146.550628 110.788319) (xy 146.460123 110.923769) - (xy 146.397782 111.074273) (xy 146.366 111.234048) (xy 146.366 111.396952) (xy 146.397782 111.556727) (xy 146.460123 111.707231) - (xy 146.4714 111.724108) (xy 144.674 109.926709) (xy 144.674 104.674634) (xy 144.711698 104.636936) (xy 144.791259 104.517864) - (xy 144.846062 104.385558) (xy 144.874 104.245103) (xy 144.874 104.101897) (xy 144.873722 104.100498) (xy 145.961709 104.100498) - (xy 146.317934 104.456724) (xy 146.317934 104.5955) (xy 146.326178 104.679207) (xy 146.350595 104.759696) (xy 146.390245 104.833876) - (xy 146.426054 104.877509) (xy 146.406806 104.893306) (xy 146.335103 104.980677) (xy 146.281822 105.080358) (xy 146.249013 105.188518) - (xy 146.237934 105.301) (xy 146.237934 105.646) (xy 146.249013 105.758482) (xy 146.281822 105.866642) (xy 146.335103 105.966323) - (xy 146.406806 106.053694) (xy 146.494177 106.125397) (xy 146.593858 106.178678) (xy 146.702018 106.211487) (xy 146.743815 106.215604) - (xy 147.176049 106.647839) (xy 147.192552 106.667948) (xy 147.272798 106.733804) (xy 147.36435 106.782739) (xy 147.46369 106.812874) - (xy 147.566999 106.823049) (xy 147.59288 106.8205) (xy 148.881119 106.8205) (xy 148.907 106.823049) (xy 148.932881 106.8205) - (xy 149.01031 106.812874) (xy 149.10965 106.782739) (xy 149.201202 106.733804) (xy 149.281448 106.667948) (xy 149.297955 106.647834) - (xy 149.579334 106.366455) (xy 149.599448 106.349948) (xy 149.665304 106.269702) (xy 149.714239 106.17815) (xy 149.744374 106.07881) - (xy 149.746146 106.060819) (xy 149.808855 106.009355) (xy 149.879004 105.923878) (xy 149.931129 105.826359) (xy 149.963228 105.720544) - (xy 149.974066 105.6105) (xy 149.974066 105.3405) (xy 149.963228 105.230456) (xy 149.931129 105.124641) (xy 149.879004 105.027122) - (xy 149.828432 104.9655) (xy 149.879004 104.903878) (xy 149.931129 104.806359) (xy 149.963228 104.700544) (xy 149.974066 104.5905) - (xy 149.974066 104.3205) (xy 149.963228 104.210456) (xy 149.931129 104.104641) (xy 149.879004 104.007122) (xy 149.808855 103.921645) - (xy 149.723378 103.851496) (xy 149.625859 103.799371) (xy 149.520044 103.767272) (xy 149.41 103.756434) (xy 149.04 103.756434) - (xy 148.929956 103.767272) (xy 148.824141 103.799371) (xy 148.726622 103.851496) (xy 148.641145 103.921645) (xy 148.635519 103.9285) - (xy 148.545588 103.9285) (xy 148.543405 103.921304) (xy 148.526935 103.890491) (xy 148.616966 103.872583) (xy 148.749272 103.81778) - (xy 148.868344 103.738219) (xy 148.969606 103.636957) (xy 149.049167 103.517885) (xy 149.10397 103.385579) (xy 149.131908 103.245124) - (xy 149.131908 103.101918) (xy 149.117704 103.030511) (xy 149.871108 103.030511) (xy 149.896989 103.03306) (xy 149.92287 103.030511) - (xy 150.000299 103.022885) (xy 150.099639 102.99275) (xy 150.139601 102.97139) - ) - ) - (filled_polygon - (pts - (xy 151.629012 101.341001) (xy 151.644553 101.359938) (xy 151.664661 101.37644) (xy 151.73 101.441779) (xy 151.73 101.495093) - (xy 151.757938 101.635548) (xy 151.812741 101.767854) (xy 151.892302 101.886926) (xy 151.993564 101.988188) (xy 152.112636 102.067749) - (xy 152.244942 102.122552) (xy 152.385397 102.15049) (xy 152.528603 102.15049) (xy 152.669058 102.122552) (xy 152.789846 102.07252) - (xy 152.722302 102.140064) (xy 152.642741 102.259136) (xy 152.587938 102.391442) (xy 152.56 102.531897) (xy 152.56 102.675103) - (xy 152.587938 102.815558) (xy 152.6 102.844678) (xy 152.6 102.9235) (xy 152.632649 102.9235) (xy 152.642741 102.947864) - (xy 152.674 102.994647) (xy 152.674 103.1775) (xy 152.6 103.1775) (xy 152.6 103.1975) (xy 152.454 103.1975) - (xy 152.454 103.1775) (xy 152.00675 103.1775) (xy 151.92152 103.26273) (xy 151.45429 102.7955) (xy 151.897934 102.7955) - (xy 151.9 102.81675) (xy 152.00675 102.9235) (xy 152.454 102.9235) (xy 152.454 102.47525) (xy 152.34725 102.3685) - (xy 152.327 102.366434) (xy 152.243293 102.374678) (xy 152.162804 102.399095) (xy 152.088624 102.438745) (xy 152.023605 102.492105) - (xy 151.970245 102.557124) (xy 151.930595 102.631304) (xy 151.906178 102.711793) (xy 151.897934 102.7955) (xy 151.45429 102.7955) - (xy 150.684 102.025211) (xy 150.684 101.908535) (xy 150.769763 101.925594) (xy 150.912969 101.925594) (xy 151.053424 101.897656) - (xy 151.18573 101.842853) (xy 151.304802 101.763292) (xy 151.406064 101.66203) (xy 151.485625 101.542958) (xy 151.540428 101.410652) + (xy 151.629012 101.341001) + (xy 151.644553 101.359938) + (xy 151.664661 101.37644) + (xy 151.73 101.441779) + (xy 151.73 101.495093) + (xy 151.757938 101.635548) + (xy 151.812741 101.767854) + (xy 151.892302 101.886926) + (xy 151.993564 101.988188) + (xy 152.112636 102.067749) + (xy 152.244942 102.122552) + (xy 152.385397 102.15049) + (xy 152.528603 102.15049) + (xy 152.669058 102.122552) + (xy 152.789846 102.07252) + (xy 152.722302 102.140064) + (xy 152.642741 102.259136) + (xy 152.587938 102.391442) + (xy 152.56 102.531897) + (xy 152.56 102.675103) + (xy 152.587938 102.815558) + (xy 152.6 102.844678) + (xy 152.6 102.9235) + (xy 152.632649 102.9235) + (xy 152.642741 102.947864) + (xy 152.674 102.994647) + (xy 152.674 103.1775) + (xy 152.6 103.1775) + (xy 152.6 103.1975) + (xy 152.454 103.1975) + (xy 152.454 103.1775) + (xy 152.00675 103.1775) + (xy 151.92152 103.26273) + (xy 151.45429 102.7955) + (xy 151.897934 102.7955) + (xy 151.9 102.81675) + (xy 152.00675 102.9235) + (xy 152.454 102.9235) + (xy 152.454 102.47525) + (xy 152.34725 102.3685) + (xy 152.327 102.366434) + (xy 152.243293 102.374678) + (xy 152.162804 102.399095) + (xy 152.088624 102.438745) + (xy 152.023605 102.492105) + (xy 151.970245 102.557124) + (xy 151.930595 102.631304) + (xy 151.906178 102.711793) + (xy 151.897934 102.7955) + (xy 151.45429 102.7955) + (xy 150.684 102.025211) + (xy 150.684 101.908535) + (xy 150.769763 101.925594) + (xy 150.912969 101.925594) + (xy 151.053424 101.897656) + (xy 151.18573 101.842853) + (xy 151.304802 101.763292) + (xy 151.406064 101.66203) + (xy 151.485625 101.542958) + (xy 151.540428 101.410652) (xy 151.561464 101.304896) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 145.02 97.564158) (xy 144.975857 97.617947) (xy 144.956694 97.641297) (xy 144.907759 97.732849) (xy 144.877624 97.832189) - (xy 144.867449 97.935498) (xy 144.869998 97.961379) (xy 144.869998 98.485621) (xy 144.867449 98.511502) (xy 144.876249 98.600851) - (xy 144.877624 98.614811) (xy 144.907759 98.714151) (xy 144.956694 98.805704) (xy 145.02255 98.88595) (xy 145.042664 98.902457) - (xy 146.009045 99.868839) (xy 146.025548 99.888948) (xy 146.105794 99.954804) (xy 146.197346 100.003739) (xy 146.296686 100.033874) - (xy 146.374115 100.0415) (xy 146.374117 100.0415) (xy 146.399995 100.044049) (xy 146.41844 100.042232) (xy 146.435311 100.062788) - (xy 146.429806 100.067306) (xy 146.358103 100.154677) (xy 146.304822 100.254358) (xy 146.272013 100.362518) (xy 146.260934 100.475) - (xy 146.260934 100.82) (xy 146.271424 100.9265) (xy 144.763291 100.9265) (xy 144.635958 100.799167) (xy 144.619451 100.779053) - (xy 144.539205 100.713197) (xy 144.447653 100.664262) (xy 144.348313 100.634127) (xy 144.270884 100.626501) (xy 144.245003 100.623952) - (xy 144.219122 100.626501) (xy 143.494 100.626501) (xy 143.494 99.828183) (xy 143.496549 99.802302) (xy 143.486374 99.698992) - (xy 143.46028 99.612973) (xy 143.456239 99.599652) (xy 143.407304 99.5081) (xy 143.341448 99.427854) (xy 143.321344 99.411355) - (xy 143.142889 99.232901) (xy 143.181344 99.194447) (xy 143.201448 99.177948) (xy 143.267304 99.097702) (xy 143.316239 99.00615) - (xy 143.346374 98.90681) (xy 143.354 98.829381) (xy 143.356549 98.8035) (xy 143.354 98.777619) (xy 143.354 96.074634) - (xy 143.391698 96.036936) (xy 143.471259 95.917864) (xy 143.526062 95.785558) (xy 143.554 95.645103) (xy 143.554 95.501897) - (xy 143.526062 95.361442) (xy 143.471259 95.229136) (xy 143.391698 95.110064) (xy 143.290436 95.008802) (xy 143.171364 94.929241) - (xy 143.039058 94.874438) (xy 142.898603 94.8465) (xy 142.755397 94.8465) (xy 142.614942 94.874438) (xy 142.608066 94.877286) - (xy 142.608066 94.6685) (xy 142.597228 94.558456) (xy 142.565129 94.452641) (xy 142.513004 94.355122) (xy 142.442855 94.269645) - (xy 142.357378 94.199496) (xy 142.259859 94.147371) (xy 142.154044 94.115272) (xy 142.044 94.104434) (xy 141.674 94.104434) - (xy 141.563956 94.115272) (xy 141.458141 94.147371) (xy 141.360622 94.199496) (xy 141.275145 94.269645) (xy 141.269519 94.2765) - (xy 141.179588 94.2765) (xy 141.177405 94.269304) (xy 141.137755 94.195124) (xy 141.084395 94.130105) (xy 141.019376 94.076745) - (xy 140.945196 94.037095) (xy 140.864707 94.012678) (xy 140.781 94.004434) (xy 140.642224 94.004434) (xy 140.589033 93.951243) - (xy 140.61016 93.9255) (xy 143.446119 93.9255) (xy 143.472 93.928049) (xy 143.497881 93.9255) (xy 143.57531 93.917874) - (xy 143.67465 93.887739) (xy 143.766202 93.838804) (xy 143.846448 93.772948) (xy 143.862955 93.752834) (xy 144.65934 92.95645) - (xy 144.679449 92.939947) (xy 144.745305 92.859701) (xy 144.79424 92.768149) (xy 144.824375 92.668809) (xy 144.832001 92.59138) - (xy 144.832001 92.591378) (xy 144.83455 92.5655) (xy 144.832001 92.539622) (xy 144.832001 92.047382) (xy 144.83455 92.021501) - (xy 144.832001 91.99562) (xy 144.832001 89.1805) (xy 144.928603 89.1805) (xy 145.020001 89.16232) + (xy 145.02 97.564158) + (xy 144.975857 97.617947) + (xy 144.956694 97.641297) + (xy 144.907759 97.732849) + (xy 144.877624 97.832189) + (xy 144.867449 97.935498) + (xy 144.869998 97.961379) + (xy 144.869998 98.485621) + (xy 144.867449 98.511502) + (xy 144.876249 98.600851) + (xy 144.877624 98.614811) + (xy 144.907759 98.714151) + (xy 144.956694 98.805704) + (xy 145.02255 98.88595) + (xy 145.042664 98.902457) + (xy 146.009045 99.868839) + (xy 146.025548 99.888948) + (xy 146.105794 99.954804) + (xy 146.197346 100.003739) + (xy 146.296686 100.033874) + (xy 146.374115 100.0415) + (xy 146.374117 100.0415) + (xy 146.399995 100.044049) + (xy 146.41844 100.042232) + (xy 146.435311 100.062788) + (xy 146.429806 100.067306) + (xy 146.358103 100.154677) + (xy 146.304822 100.254358) + (xy 146.272013 100.362518) + (xy 146.260934 100.475) + (xy 146.260934 100.82) + (xy 146.271424 100.9265) + (xy 144.763291 100.9265) + (xy 144.635958 100.799167) + (xy 144.619451 100.779053) + (xy 144.539205 100.713197) + (xy 144.447653 100.664262) + (xy 144.348313 100.634127) + (xy 144.270884 100.626501) + (xy 144.245003 100.623952) + (xy 144.219122 100.626501) + (xy 143.494 100.626501) + (xy 143.494 99.828183) + (xy 143.496549 99.802302) + (xy 143.486374 99.698992) + (xy 143.46028 99.612973) + (xy 143.456239 99.599652) + (xy 143.407304 99.5081) + (xy 143.341448 99.427854) + (xy 143.321344 99.411355) + (xy 143.142889 99.232901) + (xy 143.181344 99.194447) + (xy 143.201448 99.177948) + (xy 143.267304 99.097702) + (xy 143.316239 99.00615) + (xy 143.346374 98.90681) + (xy 143.354 98.829381) + (xy 143.356549 98.8035) + (xy 143.354 98.777619) + (xy 143.354 96.074634) + (xy 143.391698 96.036936) + (xy 143.471259 95.917864) + (xy 143.526062 95.785558) + (xy 143.554 95.645103) + (xy 143.554 95.501897) + (xy 143.526062 95.361442) + (xy 143.471259 95.229136) + (xy 143.391698 95.110064) + (xy 143.290436 95.008802) + (xy 143.171364 94.929241) + (xy 143.039058 94.874438) + (xy 142.898603 94.8465) + (xy 142.755397 94.8465) + (xy 142.614942 94.874438) + (xy 142.608066 94.877286) + (xy 142.608066 94.6685) + (xy 142.597228 94.558456) + (xy 142.565129 94.452641) + (xy 142.513004 94.355122) + (xy 142.442855 94.269645) + (xy 142.357378 94.199496) + (xy 142.259859 94.147371) + (xy 142.154044 94.115272) + (xy 142.044 94.104434) + (xy 141.674 94.104434) + (xy 141.563956 94.115272) + (xy 141.458141 94.147371) + (xy 141.360622 94.199496) + (xy 141.275145 94.269645) + (xy 141.269519 94.2765) + (xy 141.179588 94.2765) + (xy 141.177405 94.269304) + (xy 141.137755 94.195124) + (xy 141.084395 94.130105) + (xy 141.019376 94.076745) + (xy 140.945196 94.037095) + (xy 140.864707 94.012678) + (xy 140.781 94.004434) + (xy 140.642224 94.004434) + (xy 140.589033 93.951243) + (xy 140.61016 93.9255) + (xy 143.446119 93.9255) + (xy 143.472 93.928049) + (xy 143.497881 93.9255) + (xy 143.57531 93.917874) + (xy 143.67465 93.887739) + (xy 143.766202 93.838804) + (xy 143.846448 93.772948) + (xy 143.862955 93.752834) + (xy 144.65934 92.95645) + (xy 144.679449 92.939947) + (xy 144.745305 92.859701) + (xy 144.79424 92.768149) + (xy 144.824375 92.668809) + (xy 144.832001 92.59138) + (xy 144.832001 92.591378) + (xy 144.83455 92.5655) + (xy 144.832001 92.539622) + (xy 144.832001 92.047382) + (xy 144.83455 92.021501) + (xy 144.832001 91.99562) + (xy 144.832001 89.1805) + (xy 144.928603 89.1805) + (xy 145.020001 89.16232) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 152.485001 96.90261) (xy 152.482451 96.9285) (xy 152.492626 97.031809) (xy 152.522761 97.131149) (xy 152.571696 97.222701) - (xy 152.5758 97.227702) (xy 152.637553 97.302948) (xy 152.657662 97.319451) (xy 152.934397 97.596187) (xy 152.891196 97.573095) - (xy 152.810707 97.548678) (xy 152.727 97.540434) (xy 152.70675 97.5425) (xy 152.6 97.64925) (xy 152.6 98.0975) - (xy 153.04725 98.0975) (xy 153.154 97.99075) (xy 153.156066 97.9695) (xy 153.147822 97.885793) (xy 153.123405 97.805304) - (xy 153.100314 97.762103) (xy 153.652609 98.314399) (xy 153.592636 98.339241) (xy 153.473564 98.418802) (xy 153.372302 98.520064) - (xy 153.292741 98.639136) (xy 153.237938 98.771442) (xy 153.226204 98.830434) (xy 153.088223 98.830434) (xy 153.035033 98.777244) - (xy 153.083755 98.717876) (xy 153.123405 98.643696) (xy 153.147822 98.563207) (xy 153.156066 98.4795) (xy 153.154 98.45825) - (xy 153.04725 98.3515) (xy 152.6 98.3515) (xy 152.6 98.3715) (xy 152.454 98.3715) (xy 152.454 98.3515) - (xy 152.38 98.3515) (xy 152.38 98.0975) (xy 152.454 98.0975) (xy 152.454 97.64925) (xy 152.34725 97.5425) - (xy 152.327 97.540434) (xy 152.243293 97.548678) (xy 152.162804 97.573095) (xy 152.088624 97.612745) (xy 152.023605 97.666105) - (xy 151.970245 97.731124) (xy 151.930595 97.805304) (xy 151.906178 97.885793) (xy 151.901552 97.932763) (xy 151.687955 97.719166) - (xy 151.671448 97.699052) (xy 151.621201 97.657815) (xy 151.661259 97.597864) (xy 151.716062 97.465558) (xy 151.744 97.325103) - (xy 151.744 97.203048) (xy 151.749507 97.203048) (xy 151.889962 97.17511) (xy 152.022268 97.120307) (xy 152.14134 97.040746) - (xy 152.242602 96.939484) (xy 152.322163 96.820412) (xy 152.376966 96.688106) (xy 152.404904 96.547651) (xy 152.404904 96.528267) - (xy 152.410142 96.526678) (xy 152.485001 96.486665) + (xy 152.485001 96.90261) + (xy 152.482451 96.9285) + (xy 152.492626 97.031809) + (xy 152.522761 97.131149) + (xy 152.571696 97.222701) + (xy 152.5758 97.227702) + (xy 152.637553 97.302948) + (xy 152.657662 97.319451) + (xy 152.934397 97.596187) + (xy 152.891196 97.573095) + (xy 152.810707 97.548678) + (xy 152.727 97.540434) + (xy 152.70675 97.5425) + (xy 152.6 97.64925) + (xy 152.6 98.0975) + (xy 153.04725 98.0975) + (xy 153.154 97.99075) + (xy 153.156066 97.9695) + (xy 153.147822 97.885793) + (xy 153.123405 97.805304) + (xy 153.100314 97.762103) + (xy 153.652609 98.314399) + (xy 153.592636 98.339241) + (xy 153.473564 98.418802) + (xy 153.372302 98.520064) + (xy 153.292741 98.639136) + (xy 153.237938 98.771442) + (xy 153.226204 98.830434) + (xy 153.088223 98.830434) + (xy 153.035033 98.777244) + (xy 153.083755 98.717876) + (xy 153.123405 98.643696) + (xy 153.147822 98.563207) + (xy 153.156066 98.4795) + (xy 153.154 98.45825) + (xy 153.04725 98.3515) + (xy 152.6 98.3515) + (xy 152.6 98.3715) + (xy 152.454 98.3715) + (xy 152.454 98.3515) + (xy 152.38 98.3515) + (xy 152.38 98.0975) + (xy 152.454 98.0975) + (xy 152.454 97.64925) + (xy 152.34725 97.5425) + (xy 152.327 97.540434) + (xy 152.243293 97.548678) + (xy 152.162804 97.573095) + (xy 152.088624 97.612745) + (xy 152.023605 97.666105) + (xy 151.970245 97.731124) + (xy 151.930595 97.805304) + (xy 151.906178 97.885793) + (xy 151.901552 97.932763) + (xy 151.687955 97.719166) + (xy 151.671448 97.699052) + (xy 151.621201 97.657815) + (xy 151.661259 97.597864) + (xy 151.716062 97.465558) + (xy 151.744 97.325103) + (xy 151.744 97.203048) + (xy 151.749507 97.203048) + (xy 151.889962 97.17511) + (xy 152.022268 97.120307) + (xy 152.14134 97.040746) + (xy 152.242602 96.939484) + (xy 152.322163 96.820412) + (xy 152.376966 96.688106) + (xy 152.404904 96.547651) + (xy 152.404904 96.528267) + (xy 152.410142 96.526678) + (xy 152.485001 96.486665) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 149.572302 87.896936) (xy 149.673564 87.998198) (xy 149.792636 88.077759) (xy 149.924942 88.132562) (xy 150.065397 88.1605) - (xy 150.208603 88.1605) (xy 150.270001 88.148287) (xy 150.27 93.832619) (xy 150.267451 93.8585) (xy 150.27 93.88438) - (xy 150.277626 93.961809) (xy 150.307761 94.061149) (xy 150.356696 94.152702) (xy 150.422552 94.232948) (xy 150.442666 94.249455) - (xy 151.236049 95.042839) (xy 151.252552 95.062948) (xy 151.332798 95.128804) (xy 151.42435 95.177739) (xy 151.480938 95.194905) - (xy 151.506054 95.225509) (xy 151.486806 95.241306) (xy 151.415103 95.328677) (xy 151.361822 95.428358) (xy 151.329013 95.536518) - (xy 151.317934 95.649) (xy 151.317934 95.842217) (xy 151.214468 95.91135) (xy 151.113206 96.012612) (xy 151.033645 96.131684) - (xy 150.978842 96.26399) (xy 150.950904 96.404445) (xy 150.950904 96.5265) (xy 150.945397 96.5265) (xy 150.804942 96.554438) - (xy 150.672636 96.609241) (xy 150.553564 96.688802) (xy 150.515866 96.7265) (xy 149.96582 96.7265) (xy 149.984 96.635103) - (xy 149.984 96.491897) (xy 149.956062 96.351442) (xy 149.903851 96.225393) (xy 149.931129 96.174359) (xy 149.963228 96.068544) - (xy 149.974066 95.9585) (xy 149.974066 95.6885) (xy 149.963228 95.578456) (xy 149.931129 95.472641) (xy 149.879004 95.375122) - (xy 149.828432 95.3135) (xy 149.879004 95.251878) (xy 149.931129 95.154359) (xy 149.963228 95.048544) (xy 149.974066 94.9385) - (xy 149.974066 94.6685) (xy 149.963228 94.558456) (xy 149.931129 94.452641) (xy 149.879004 94.355122) (xy 149.808855 94.269645) - (xy 149.723378 94.199496) (xy 149.625859 94.147371) (xy 149.520044 94.115272) (xy 149.41 94.104434) (xy 149.04 94.104434) - (xy 148.929956 94.115272) (xy 148.824141 94.147371) (xy 148.726622 94.199496) (xy 148.641145 94.269645) (xy 148.635519 94.2765) - (xy 148.545588 94.2765) (xy 148.543405 94.269304) (xy 148.503755 94.195124) (xy 148.450395 94.130105) (xy 148.385376 94.076745) - (xy 148.311196 94.037095) (xy 148.230707 94.012678) (xy 148.147 94.004434) (xy 148.008224 94.004434) (xy 147.955033 93.951243) - (xy 148.003755 93.891876) (xy 148.043405 93.817696) (xy 148.067822 93.737207) (xy 148.076066 93.6535) (xy 148.076066 93.1435) - (xy 148.067822 93.059793) (xy 148.043405 92.979304) (xy 148.014001 92.924293) (xy 148.014001 88.581897) (xy 148.07 88.581897) - (xy 148.07 88.725103) (xy 148.097938 88.865558) (xy 148.152741 88.997864) (xy 148.232302 89.116936) (xy 148.333564 89.218198) - (xy 148.452636 89.297759) (xy 148.584942 89.352562) (xy 148.725397 89.3805) (xy 148.868603 89.3805) (xy 149.009058 89.352562) - (xy 149.141364 89.297759) (xy 149.260436 89.218198) (xy 149.361698 89.116936) (xy 149.441259 88.997864) (xy 149.496062 88.865558) - (xy 149.524 88.725103) (xy 149.524 88.581897) (xy 149.496062 88.441442) (xy 149.441259 88.309136) (xy 149.361698 88.190064) - (xy 149.260436 88.088802) (xy 149.141364 88.009241) (xy 149.009058 87.954438) (xy 148.868603 87.9265) (xy 148.725397 87.9265) - (xy 148.584942 87.954438) (xy 148.452636 88.009241) (xy 148.333564 88.088802) (xy 148.232302 88.190064) (xy 148.152741 88.309136) - (xy 148.097938 88.441442) (xy 148.07 88.581897) (xy 148.014001 88.581897) (xy 148.014001 88.419388) (xy 148.01655 88.39351) - (xy 148.013944 88.367054) (xy 148.006375 88.290201) (xy 147.97624 88.190861) (xy 147.927305 88.099309) (xy 147.861449 88.019063) - (xy 147.841335 88.002556) (xy 147.724 87.885221) (xy 147.724 87.831907) (xy 147.72372 87.8305) (xy 149.527911 87.8305) + (xy 149.572302 87.896936) + (xy 149.673564 87.998198) + (xy 149.792636 88.077759) + (xy 149.924942 88.132562) + (xy 150.065397 88.1605) + (xy 150.208603 88.1605) + (xy 150.270001 88.148287) + (xy 150.27 93.832619) + (xy 150.267451 93.8585) + (xy 150.27 93.88438) + (xy 150.277626 93.961809) + (xy 150.307761 94.061149) + (xy 150.356696 94.152702) + (xy 150.422552 94.232948) + (xy 150.442666 94.249455) + (xy 151.236049 95.042839) + (xy 151.252552 95.062948) + (xy 151.332798 95.128804) + (xy 151.42435 95.177739) + (xy 151.480938 95.194905) + (xy 151.506054 95.225509) + (xy 151.486806 95.241306) + (xy 151.415103 95.328677) + (xy 151.361822 95.428358) + (xy 151.329013 95.536518) + (xy 151.317934 95.649) + (xy 151.317934 95.842217) + (xy 151.214468 95.91135) + (xy 151.113206 96.012612) + (xy 151.033645 96.131684) + (xy 150.978842 96.26399) + (xy 150.950904 96.404445) + (xy 150.950904 96.5265) + (xy 150.945397 96.5265) + (xy 150.804942 96.554438) + (xy 150.672636 96.609241) + (xy 150.553564 96.688802) + (xy 150.515866 96.7265) + (xy 149.96582 96.7265) + (xy 149.984 96.635103) + (xy 149.984 96.491897) + (xy 149.956062 96.351442) + (xy 149.903851 96.225393) + (xy 149.931129 96.174359) + (xy 149.963228 96.068544) + (xy 149.974066 95.9585) + (xy 149.974066 95.6885) + (xy 149.963228 95.578456) + (xy 149.931129 95.472641) + (xy 149.879004 95.375122) + (xy 149.828432 95.3135) + (xy 149.879004 95.251878) + (xy 149.931129 95.154359) + (xy 149.963228 95.048544) + (xy 149.974066 94.9385) + (xy 149.974066 94.6685) + (xy 149.963228 94.558456) + (xy 149.931129 94.452641) + (xy 149.879004 94.355122) + (xy 149.808855 94.269645) + (xy 149.723378 94.199496) + (xy 149.625859 94.147371) + (xy 149.520044 94.115272) + (xy 149.41 94.104434) + (xy 149.04 94.104434) + (xy 148.929956 94.115272) + (xy 148.824141 94.147371) + (xy 148.726622 94.199496) + (xy 148.641145 94.269645) + (xy 148.635519 94.2765) + (xy 148.545588 94.2765) + (xy 148.543405 94.269304) + (xy 148.503755 94.195124) + (xy 148.450395 94.130105) + (xy 148.385376 94.076745) + (xy 148.311196 94.037095) + (xy 148.230707 94.012678) + (xy 148.147 94.004434) + (xy 148.008224 94.004434) + (xy 147.955033 93.951243) + (xy 148.003755 93.891876) + (xy 148.043405 93.817696) + (xy 148.067822 93.737207) + (xy 148.076066 93.6535) + (xy 148.076066 93.1435) + (xy 148.067822 93.059793) + (xy 148.043405 92.979304) + (xy 148.014001 92.924293) + (xy 148.014001 88.581897) + (xy 148.07 88.581897) + (xy 148.07 88.725103) + (xy 148.097938 88.865558) + (xy 148.152741 88.997864) + (xy 148.232302 89.116936) + (xy 148.333564 89.218198) + (xy 148.452636 89.297759) + (xy 148.584942 89.352562) + (xy 148.725397 89.3805) + (xy 148.868603 89.3805) + (xy 149.009058 89.352562) + (xy 149.141364 89.297759) + (xy 149.260436 89.218198) + (xy 149.361698 89.116936) + (xy 149.441259 88.997864) + (xy 149.496062 88.865558) + (xy 149.524 88.725103) + (xy 149.524 88.581897) + (xy 149.496062 88.441442) + (xy 149.441259 88.309136) + (xy 149.361698 88.190064) + (xy 149.260436 88.088802) + (xy 149.141364 88.009241) + (xy 149.009058 87.954438) + (xy 148.868603 87.9265) + (xy 148.725397 87.9265) + (xy 148.584942 87.954438) + (xy 148.452636 88.009241) + (xy 148.333564 88.088802) + (xy 148.232302 88.190064) + (xy 148.152741 88.309136) + (xy 148.097938 88.441442) + (xy 148.07 88.581897) + (xy 148.014001 88.581897) + (xy 148.014001 88.419391) + (xy 148.01655 88.39351) + (xy 148.006375 88.290201) + (xy 147.97624 88.190861) + (xy 147.927305 88.099309) + (xy 147.922024 88.092874) + (xy 147.861449 88.019063) + (xy 147.84134 88.00256) + (xy 147.724 87.88522) + (xy 147.724 87.831907) + (xy 147.72372 87.8305) + (xy 149.527911 87.8305) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 154.570001 93.793209) (xy 154.258777 94.104434) (xy 154.12 94.104434) (xy 154.009956 94.115272) (xy 153.904141 94.147371) - (xy 153.806622 94.199496) (xy 153.721145 94.269645) (xy 153.715519 94.2765) (xy 153.625588 94.2765) (xy 153.623405 94.269304) - (xy 153.583755 94.195124) (xy 153.530395 94.130105) (xy 153.465376 94.076745) (xy 153.391196 94.037095) (xy 153.310707 94.012678) - (xy 153.227 94.004434) (xy 152.972469 94.004434) (xy 153.030395 93.956895) (xy 153.083755 93.891876) (xy 153.123405 93.817696) - (xy 153.147822 93.737207) (xy 153.156066 93.6535) (xy 153.154 93.63225) (xy 153.04725 93.5255) (xy 152.6 93.5255) - (xy 152.6 93.97375) (xy 152.663219 94.036969) (xy 152.662804 94.037095) (xy 152.588624 94.076745) (xy 152.527 94.127319) - (xy 152.465376 94.076745) (xy 152.391196 94.037095) (xy 152.390781 94.036969) (xy 152.454 93.97375) (xy 152.454 93.5255) - (xy 152.00675 93.5255) (xy 151.9 93.63225) (xy 151.897934 93.6535) (xy 151.906178 93.737207) (xy 151.930595 93.817696) - (xy 151.970245 93.891876) (xy 152.023605 93.956895) (xy 152.081531 94.004434) (xy 151.827 94.004434) (xy 151.743293 94.012678) - (xy 151.707366 94.023577) (xy 151.324 93.640211) (xy 151.324 93.1435) (xy 151.897934 93.1435) (xy 151.9 93.16475) - (xy 152.00675 93.2715) (xy 152.454 93.2715) (xy 152.454 92.82325) (xy 152.6 92.82325) (xy 152.6 93.2715) - (xy 153.04725 93.2715) (xy 153.154 93.16475) (xy 153.156066 93.1435) (xy 153.147822 93.059793) (xy 153.123405 92.979304) - (xy 153.083755 92.905124) (xy 153.030395 92.840105) (xy 152.965376 92.786745) (xy 152.891196 92.747095) (xy 152.810707 92.722678) - (xy 152.727 92.714434) (xy 152.70675 92.7165) (xy 152.6 92.82325) (xy 152.454 92.82325) (xy 152.34725 92.7165) - (xy 152.327 92.714434) (xy 152.243293 92.722678) (xy 152.162804 92.747095) (xy 152.088624 92.786745) (xy 152.023605 92.840105) - (xy 151.970245 92.905124) (xy 151.930595 92.979304) (xy 151.906178 93.059793) (xy 151.897934 93.1435) (xy 151.324 93.1435) - (xy 151.324 92.922172) (xy 151.324942 92.922562) (xy 151.465397 92.9505) (xy 151.608603 92.9505) (xy 151.749058 92.922562) - (xy 151.881364 92.867759) (xy 152.000436 92.788198) (xy 152.101698 92.686936) (xy 152.152771 92.6105) (xy 154.57 92.6105) + (xy 154.570001 93.793209) + (xy 154.258777 94.104434) + (xy 154.12 94.104434) + (xy 154.009956 94.115272) + (xy 153.904141 94.147371) + (xy 153.806622 94.199496) + (xy 153.721145 94.269645) + (xy 153.715519 94.2765) + (xy 153.625588 94.2765) + (xy 153.623405 94.269304) + (xy 153.583755 94.195124) + (xy 153.530395 94.130105) + (xy 153.465376 94.076745) + (xy 153.391196 94.037095) + (xy 153.310707 94.012678) + (xy 153.227 94.004434) + (xy 152.972469 94.004434) + (xy 153.030395 93.956895) + (xy 153.083755 93.891876) + (xy 153.123405 93.817696) + (xy 153.147822 93.737207) + (xy 153.156066 93.6535) + (xy 153.154 93.63225) + (xy 153.04725 93.5255) + (xy 152.6 93.5255) + (xy 152.6 93.97375) + (xy 152.663219 94.036969) + (xy 152.662804 94.037095) + (xy 152.588624 94.076745) + (xy 152.527 94.127319) + (xy 152.465376 94.076745) + (xy 152.391196 94.037095) + (xy 152.390781 94.036969) + (xy 152.454 93.97375) + (xy 152.454 93.5255) + (xy 152.00675 93.5255) + (xy 151.9 93.63225) + (xy 151.897934 93.6535) + (xy 151.906178 93.737207) + (xy 151.930595 93.817696) + (xy 151.970245 93.891876) + (xy 152.023605 93.956895) + (xy 152.081531 94.004434) + (xy 151.827 94.004434) + (xy 151.743293 94.012678) + (xy 151.707366 94.023577) + (xy 151.324 93.640211) + (xy 151.324 93.1435) + (xy 151.897934 93.1435) + (xy 151.9 93.16475) + (xy 152.00675 93.2715) + (xy 152.454 93.2715) + (xy 152.454 92.82325) + (xy 152.6 92.82325) + (xy 152.6 93.2715) + (xy 153.04725 93.2715) + (xy 153.154 93.16475) + (xy 153.156066 93.1435) + (xy 153.147822 93.059793) + (xy 153.123405 92.979304) + (xy 153.083755 92.905124) + (xy 153.030395 92.840105) + (xy 152.965376 92.786745) + (xy 152.891196 92.747095) + (xy 152.810707 92.722678) + (xy 152.727 92.714434) + (xy 152.70675 92.7165) + (xy 152.6 92.82325) + (xy 152.454 92.82325) + (xy 152.34725 92.7165) + (xy 152.327 92.714434) + (xy 152.243293 92.722678) + (xy 152.162804 92.747095) + (xy 152.088624 92.786745) + (xy 152.023605 92.840105) + (xy 151.970245 92.905124) + (xy 151.930595 92.979304) + (xy 151.906178 93.059793) + (xy 151.897934 93.1435) + (xy 151.324 93.1435) + (xy 151.324 92.922172) + (xy 151.324942 92.922562) + (xy 151.465397 92.9505) + (xy 151.608603 92.9505) + (xy 151.749058 92.922562) + (xy 151.881364 92.867759) + (xy 152.000436 92.788198) + (xy 152.101698 92.686936) + (xy 152.152771 92.6105) + (xy 154.57 92.6105) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 146.27 87.831907) (xy 146.27 87.975113) (xy 146.297938 88.115568) (xy 146.352741 88.247874) (xy 146.432302 88.366946) - (xy 146.533564 88.468208) (xy 146.652636 88.547769) (xy 146.784942 88.602572) (xy 146.925397 88.63051) (xy 146.960002 88.63051) - (xy 146.960001 92.826649) (xy 146.943605 92.840105) (xy 146.890245 92.905124) (xy 146.850595 92.979304) (xy 146.83372 93.034932) - (xy 146.728886 92.930099) (xy 146.728886 92.537717) (xy 146.766584 92.500019) (xy 146.846145 92.380947) (xy 146.900948 92.248641) - (xy 146.928886 92.108186) (xy 146.928886 91.96498) (xy 146.900948 91.824525) (xy 146.846145 91.692219) (xy 146.766584 91.573147) - (xy 146.665322 91.471885) (xy 146.54625 91.392324) (xy 146.413944 91.337521) (xy 146.273489 91.309583) (xy 146.130283 91.309583) - (xy 146.074 91.320778) (xy 146.074 87.8305) (xy 146.27028 87.8305) + (xy 146.27 87.831907) + (xy 146.27 87.975113) + (xy 146.297938 88.115568) + (xy 146.352741 88.247874) + (xy 146.432302 88.366946) + (xy 146.533564 88.468208) + (xy 146.652636 88.547769) + (xy 146.784942 88.602572) + (xy 146.925397 88.63051) + (xy 146.960002 88.63051) + (xy 146.960001 92.826649) + (xy 146.943605 92.840105) + (xy 146.890245 92.905124) + (xy 146.850595 92.979304) + (xy 146.83372 93.034932) + (xy 146.728886 92.930099) + (xy 146.728886 92.537717) + (xy 146.766584 92.500019) + (xy 146.846145 92.380947) + (xy 146.900948 92.248641) + (xy 146.928886 92.108186) + (xy 146.928886 91.96498) + (xy 146.900948 91.824525) + (xy 146.846145 91.692219) + (xy 146.766584 91.573147) + (xy 146.665322 91.471885) + (xy 146.54625 91.392324) + (xy 146.413944 91.337521) + (xy 146.273489 91.309583) + (xy 146.130283 91.309583) + (xy 146.074 91.320778) + (xy 146.074 87.8305) + (xy 146.27028 87.8305) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 143.778002 87.943688) (xy 143.76776 87.96285) (xy 143.737625 88.06219) (xy 143.72745 88.165499) (xy 143.729999 88.19138) - (xy 143.729999 88.71562) (xy 143.72745 88.741501) (xy 143.737625 88.84481) (xy 143.76776 88.94415) (xy 143.778001 88.96331) - (xy 143.778002 91.303036) (xy 143.770952 91.304438) (xy 143.638646 91.359241) (xy 143.519574 91.438802) (xy 143.418312 91.540064) - (xy 143.338751 91.659136) (xy 143.283948 91.791442) (xy 143.25601 91.931897) (xy 143.25601 92.075103) (xy 143.283948 92.215558) - (xy 143.338751 92.347864) (xy 143.418312 92.466936) (xy 143.519574 92.568198) (xy 143.542017 92.583194) (xy 143.253711 92.8715) - (xy 140.61016 92.8715) (xy 140.584395 92.840105) (xy 140.519376 92.786745) (xy 140.445196 92.747095) (xy 140.364707 92.722678) - (xy 140.281 92.714434) (xy 139.881 92.714434) (xy 139.797293 92.722678) (xy 139.716804 92.747095) (xy 139.642624 92.786745) - (xy 139.577605 92.840105) (xy 139.524245 92.905124) (xy 139.484595 92.979304) (xy 139.46772 93.034932) (xy 138.994 92.561213) - (xy 138.994 88.871789) (xy 139.36529 88.5005) (xy 139.418603 88.5005) (xy 139.559058 88.472562) (xy 139.691364 88.417759) - (xy 139.810436 88.338198) (xy 139.911698 88.236936) (xy 139.955341 88.17162) (xy 139.992302 88.226936) (xy 140.093564 88.328198) - (xy 140.212636 88.407759) (xy 140.344942 88.462562) (xy 140.485397 88.4905) (xy 140.628603 88.4905) (xy 140.769058 88.462562) - (xy 140.901364 88.407759) (xy 141.020436 88.328198) (xy 141.121698 88.226936) (xy 141.201259 88.107864) (xy 141.256062 87.975558) - (xy 141.284 87.835103) (xy 141.284 87.691897) (xy 141.256062 87.551442) (xy 141.201259 87.419136) (xy 141.121698 87.300064) - (xy 141.020436 87.198802) (xy 140.901364 87.119241) (xy 140.769058 87.064438) (xy 140.628603 87.0365) (xy 140.485397 87.0365) - (xy 140.344942 87.064438) (xy 140.212636 87.119241) (xy 140.093564 87.198802) (xy 139.992302 87.300064) (xy 139.948659 87.36538) - (xy 139.911698 87.310064) (xy 139.810436 87.208802) (xy 139.691364 87.129241) (xy 139.559058 87.074438) (xy 139.45671 87.05408) - (xy 139.592224 86.918566) (xy 139.7435 86.918566) (xy 139.855982 86.907487) (xy 139.964142 86.874678) (xy 140.063823 86.821397) - (xy 140.081 86.8073) (xy 140.098177 86.821397) (xy 140.197858 86.874678) (xy 140.306018 86.907487) (xy 140.4185 86.918566) - (xy 140.7135 86.918566) (xy 140.825982 86.907487) (xy 140.934142 86.874678) (xy 141.033823 86.821397) (xy 141.121194 86.749694) - (xy 141.163208 86.6985) (xy 141.269519 86.6985) (xy 141.275145 86.705355) (xy 141.360622 86.775504) (xy 141.458141 86.827629) - (xy 141.563956 86.859728) (xy 141.674 86.870566) (xy 142.044 86.870566) (xy 142.154044 86.859728) (xy 142.259859 86.827629) - (xy 142.357378 86.775504) (xy 142.442855 86.705355) (xy 142.513004 86.619878) (xy 142.565129 86.522359) (xy 142.597228 86.416544) - (xy 142.608066 86.3065) (xy 142.608066 86.0365) (xy 142.597228 85.926456) (xy 142.565129 85.820641) (xy 142.513004 85.723122) - (xy 142.462432 85.6615) (xy 142.513004 85.599878) (xy 142.565129 85.502359) (xy 142.597228 85.396544) (xy 142.608066 85.2865) - (xy 142.608066 85.0165) (xy 142.597228 84.906456) (xy 142.565129 84.800641) (xy 142.513004 84.703122) (xy 142.442855 84.617645) - (xy 142.357378 84.547496) (xy 142.259859 84.495371) (xy 142.154044 84.463272) (xy 142.044 84.452434) (xy 141.674 84.452434) - (xy 141.563956 84.463272) (xy 141.458141 84.495371) (xy 141.431708 84.5095) (xy 141.11016 84.5095) (xy 141.084395 84.478105) - (xy 141.019376 84.424745) (xy 140.945196 84.385095) (xy 140.864707 84.360678) (xy 140.781 84.352434) (xy 140.642223 84.352434) - (xy 140.589033 84.299244) (xy 140.637755 84.239876) (xy 140.642767 84.2305) (xy 140.945866 84.2305) (xy 140.983564 84.268198) - (xy 141.102636 84.347759) (xy 141.234942 84.402562) (xy 141.375397 84.4305) (xy 141.518603 84.4305) (xy 141.659058 84.402562) - (xy 141.791364 84.347759) (xy 141.910436 84.268198) (xy 142.011698 84.166936) (xy 142.091259 84.047864) (xy 142.146062 83.915558) - (xy 142.174 83.775103) (xy 142.174 83.631897) (xy 142.146062 83.491442) (xy 142.091259 83.359136) (xy 142.011698 83.240064) - (xy 141.910436 83.138802) (xy 141.868079 83.1105) (xy 142.961119 83.1105) (xy 142.987 83.113049) (xy 143.012881 83.1105) - (xy 143.09031 83.102874) (xy 143.18965 83.072739) (xy 143.281202 83.023804) (xy 143.361448 82.957948) (xy 143.377955 82.937834) + (xy 143.778002 87.943688) + (xy 143.76776 87.96285) + (xy 143.737625 88.06219) + (xy 143.72745 88.165499) + (xy 143.729999 88.19138) + (xy 143.729999 88.71562) + (xy 143.72745 88.741501) + (xy 143.737625 88.84481) + (xy 143.76776 88.94415) + (xy 143.778001 88.96331) + (xy 143.778002 91.303036) + (xy 143.770952 91.304438) + (xy 143.638646 91.359241) + (xy 143.519574 91.438802) + (xy 143.418312 91.540064) + (xy 143.338751 91.659136) + (xy 143.283948 91.791442) + (xy 143.25601 91.931897) + (xy 143.25601 92.075103) + (xy 143.283948 92.215558) + (xy 143.338751 92.347864) + (xy 143.418312 92.466936) + (xy 143.519574 92.568198) + (xy 143.542017 92.583194) + (xy 143.253711 92.8715) + (xy 140.61016 92.8715) + (xy 140.584395 92.840105) + (xy 140.519376 92.786745) + (xy 140.445196 92.747095) + (xy 140.364707 92.722678) + (xy 140.281 92.714434) + (xy 139.881 92.714434) + (xy 139.797293 92.722678) + (xy 139.716804 92.747095) + (xy 139.642624 92.786745) + (xy 139.577605 92.840105) + (xy 139.524245 92.905124) + (xy 139.484595 92.979304) + (xy 139.46772 93.034932) + (xy 138.994 92.561213) + (xy 138.994 88.871789) + (xy 139.36529 88.5005) + (xy 139.418603 88.5005) + (xy 139.559058 88.472562) + (xy 139.691364 88.417759) + (xy 139.810436 88.338198) + (xy 139.911698 88.236936) + (xy 139.955341 88.17162) + (xy 139.992302 88.226936) + (xy 140.093564 88.328198) + (xy 140.212636 88.407759) + (xy 140.344942 88.462562) + (xy 140.485397 88.4905) + (xy 140.628603 88.4905) + (xy 140.769058 88.462562) + (xy 140.901364 88.407759) + (xy 141.020436 88.328198) + (xy 141.121698 88.226936) + (xy 141.201259 88.107864) + (xy 141.256062 87.975558) + (xy 141.284 87.835103) + (xy 141.284 87.691897) + (xy 141.256062 87.551442) + (xy 141.201259 87.419136) + (xy 141.121698 87.300064) + (xy 141.020436 87.198802) + (xy 140.901364 87.119241) + (xy 140.769058 87.064438) + (xy 140.628603 87.0365) + (xy 140.485397 87.0365) + (xy 140.344942 87.064438) + (xy 140.212636 87.119241) + (xy 140.093564 87.198802) + (xy 139.992302 87.300064) + (xy 139.948659 87.36538) + (xy 139.911698 87.310064) + (xy 139.810436 87.208802) + (xy 139.691364 87.129241) + (xy 139.559058 87.074438) + (xy 139.45671 87.05408) + (xy 139.592224 86.918566) + (xy 139.7435 86.918566) + (xy 139.855982 86.907487) + (xy 139.964142 86.874678) + (xy 140.063823 86.821397) + (xy 140.081 86.8073) + (xy 140.098177 86.821397) + (xy 140.197858 86.874678) + (xy 140.306018 86.907487) + (xy 140.4185 86.918566) + (xy 140.7135 86.918566) + (xy 140.825982 86.907487) + (xy 140.934142 86.874678) + (xy 141.033823 86.821397) + (xy 141.121194 86.749694) + (xy 141.163208 86.6985) + (xy 141.269519 86.6985) + (xy 141.275145 86.705355) + (xy 141.360622 86.775504) + (xy 141.458141 86.827629) + (xy 141.563956 86.859728) + (xy 141.674 86.870566) + (xy 142.044 86.870566) + (xy 142.154044 86.859728) + (xy 142.259859 86.827629) + (xy 142.357378 86.775504) + (xy 142.442855 86.705355) + (xy 142.513004 86.619878) + (xy 142.565129 86.522359) + (xy 142.597228 86.416544) + (xy 142.608066 86.3065) + (xy 142.608066 86.0365) + (xy 142.597228 85.926456) + (xy 142.565129 85.820641) + (xy 142.513004 85.723122) + (xy 142.462432 85.6615) + (xy 142.513004 85.599878) + (xy 142.565129 85.502359) + (xy 142.597228 85.396544) + (xy 142.608066 85.2865) + (xy 142.608066 85.0165) + (xy 142.597228 84.906456) + (xy 142.565129 84.800641) + (xy 142.513004 84.703122) + (xy 142.442855 84.617645) + (xy 142.357378 84.547496) + (xy 142.259859 84.495371) + (xy 142.154044 84.463272) + (xy 142.044 84.452434) + (xy 141.674 84.452434) + (xy 141.563956 84.463272) + (xy 141.458141 84.495371) + (xy 141.431708 84.5095) + (xy 141.11016 84.5095) + (xy 141.084395 84.478105) + (xy 141.019376 84.424745) + (xy 140.945196 84.385095) + (xy 140.864707 84.360678) + (xy 140.781 84.352434) + (xy 140.642223 84.352434) + (xy 140.589033 84.299244) + (xy 140.637755 84.239876) + (xy 140.642767 84.2305) + (xy 140.945866 84.2305) + (xy 140.983564 84.268198) + (xy 141.102636 84.347759) + (xy 141.234942 84.402562) + (xy 141.375397 84.4305) + (xy 141.518603 84.4305) + (xy 141.659058 84.402562) + (xy 141.791364 84.347759) + (xy 141.910436 84.268198) + (xy 142.011698 84.166936) + (xy 142.091259 84.047864) + (xy 142.146062 83.915558) + (xy 142.174 83.775103) + (xy 142.174 83.631897) + (xy 142.146062 83.491442) + (xy 142.091259 83.359136) + (xy 142.011698 83.240064) + (xy 141.910436 83.138802) + (xy 141.868079 83.1105) + (xy 142.961119 83.1105) + (xy 142.987 83.113049) + (xy 143.012881 83.1105) + (xy 143.09031 83.102874) + (xy 143.18965 83.072739) + (xy 143.281202 83.023804) + (xy 143.361448 82.957948) + (xy 143.377955 82.937834) (xy 143.778001 82.537788) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 152.243293 78.244678) (xy 152.162804 78.269095) (xy 152.088624 78.308745) (xy 152.023605 78.362105) (xy 151.970245 78.427124) - (xy 151.930595 78.501304) (xy 151.906178 78.581793) (xy 151.897934 78.6655) (xy 151.9 78.68675) (xy 152.00675 78.7935) - (xy 152.454 78.7935) (xy 152.454 78.7735) (xy 152.6 78.7735) (xy 152.6 78.7935) (xy 153.04725 78.7935) - (xy 153.154 78.68675) (xy 153.156066 78.6655) (xy 153.147822 78.581793) (xy 153.123405 78.501304) (xy 153.083755 78.427124) - (xy 153.030395 78.362105) (xy 152.965376 78.308745) (xy 152.891196 78.269095) (xy 152.810707 78.244678) (xy 152.758131 78.2395) - (xy 159.108891 78.2395) (xy 159.388134 78.26688) (xy 159.635473 78.341555) (xy 159.863597 78.462851) (xy 160.063819 78.626148) - (xy 160.228507 78.825221) (xy 160.351393 79.052494) (xy 160.427794 79.299306) (xy 160.457001 79.577195) (xy 160.457001 80.428211) - (xy 159.937955 79.909166) (xy 159.921448 79.889052) (xy 159.841202 79.823196) (xy 159.74965 79.774261) (xy 159.65031 79.744126) - (xy 159.572881 79.7365) (xy 159.547 79.733951) (xy 159.521119 79.7365) (xy 158.742881 79.7365) (xy 158.717 79.733951) - (xy 158.691119 79.7365) (xy 158.61369 79.744126) (xy 158.51435 79.774261) (xy 158.466122 79.800039) (xy 158.457405 79.771304) - (xy 158.417755 79.697124) (xy 158.364395 79.632105) (xy 158.299376 79.578745) (xy 158.225196 79.539095) (xy 158.144707 79.514678) - (xy 158.061 79.506434) (xy 158.04075 79.5085) (xy 157.934 79.61525) (xy 157.934 80.0635) (xy 158.008 80.0635) - (xy 158.008 80.22721) (xy 157.99871 80.2365) (xy 156.90288 80.2365) (xy 156.876999 80.233951) (xy 156.77369 80.244126) - (xy 156.67435 80.274261) (xy 156.582798 80.323196) (xy 156.502552 80.389052) (xy 156.486045 80.409166) (xy 156.212666 80.682545) - (xy 156.192552 80.699052) (xy 156.126696 80.779298) (xy 156.083906 80.859355) (xy 156.077761 80.870851) (xy 156.047626 80.970191) - (xy 156.037451 81.0735) (xy 156.04 81.099381) (xy 156.04 81.778619) (xy 156.037451 81.8045) (xy 156.047626 81.907809) - (xy 156.077761 82.007149) (xy 156.126696 82.098701) (xy 156.126697 82.098702) (xy 156.192553 82.178948) (xy 156.212661 82.195451) - (xy 156.651934 82.634724) (xy 156.651934 82.786) (xy 156.663013 82.898482) (xy 156.695822 83.006642) (xy 156.749103 83.106323) - (xy 156.820806 83.193694) (xy 156.887588 83.2485) (xy 156.820806 83.303306) (xy 156.749103 83.390677) (xy 156.695822 83.490358) - (xy 156.663013 83.598518) (xy 156.651934 83.711) (xy 156.651934 84.056) (xy 156.663013 84.168482) (xy 156.695822 84.276642) - (xy 156.749103 84.376323) (xy 156.820806 84.463694) (xy 156.834 84.474522) (xy 156.834001 85.776867) (xy 156.804245 85.813124) - (xy 156.764595 85.887304) (xy 156.740178 85.967793) (xy 156.731934 86.0515) (xy 156.731934 86.5615) (xy 156.740178 86.645207) - (xy 156.764595 86.725696) (xy 156.804245 86.799876) (xy 156.834 86.836132) (xy 156.834001 91.600209) (xy 156.406713 92.027498) - (xy 156.074875 92.027498) (xy 156.048997 92.024949) (xy 156.023119 92.027498) (xy 156.023117 92.027498) (xy 155.945688 92.035124) - (xy 155.846348 92.065259) (xy 155.754796 92.114194) (xy 155.67455 92.18005) (xy 155.658047 92.200159) (xy 155.624 92.234206) - (xy 155.624 92.109381) (xy 155.626549 92.0835) (xy 155.624 92.057619) (xy 155.624 90.79538) (xy 155.626549 90.769499) - (xy 155.616374 90.66619) (xy 155.586239 90.56685) (xy 155.578995 90.553298) (xy 155.537304 90.475298) (xy 155.471448 90.395052) - (xy 155.451339 90.378549) (xy 155.054066 89.981277) (xy 155.054066 89.973724) (xy 155.279354 89.748437) (xy 155.299458 89.731938) - (xy 155.365314 89.651692) (xy 155.414249 89.56014) (xy 155.444384 89.4608) (xy 155.45201 89.383371) (xy 155.454559 89.35749) - (xy 155.45201 89.331609) (xy 155.45201 85.62739) (xy 155.454559 85.601509) (xy 155.444384 85.4982) (xy 155.414249 85.39886) - (xy 155.407989 85.387149) (xy 155.365314 85.307308) (xy 155.299458 85.227062) (xy 155.279349 85.210559) (xy 155.050655 84.981865) - (xy 155.043228 84.906456) (xy 155.011129 84.800641) (xy 154.959004 84.703122) (xy 154.888855 84.617645) (xy 154.803378 84.547496) - (xy 154.705859 84.495371) (xy 154.600044 84.463272) (xy 154.49 84.452434) (xy 154.12 84.452434) (xy 154.009956 84.463272) - (xy 153.904141 84.495371) (xy 153.877708 84.5095) (xy 153.55616 84.5095) (xy 153.530395 84.478105) (xy 153.465376 84.424745) - (xy 153.391196 84.385095) (xy 153.310707 84.360678) (xy 153.227 84.352434) (xy 152.972469 84.352434) (xy 153.030395 84.304895) - (xy 153.083755 84.239876) (xy 153.123405 84.165696) (xy 153.147822 84.085207) (xy 153.156066 84.0015) (xy 153.154 83.98025) - (xy 153.04725 83.8735) (xy 152.6 83.8735) (xy 152.6 84.32175) (xy 152.663219 84.384969) (xy 152.662804 84.385095) - (xy 152.588624 84.424745) (xy 152.527 84.475319) (xy 152.465376 84.424745) (xy 152.391196 84.385095) (xy 152.390781 84.384969) - (xy 152.454 84.32175) (xy 152.454 83.8735) (xy 152.38 83.8735) (xy 152.38 83.635886) (xy 152.396386 83.6195) - (xy 152.454 83.6195) (xy 152.454 83.5995) (xy 152.6 83.5995) (xy 152.6 83.6195) (xy 153.04725 83.6195) - (xy 153.154 83.51275) (xy 153.156066 83.4915) (xy 153.147822 83.407793) (xy 153.123405 83.327304) (xy 153.083755 83.253124) - (xy 153.030395 83.188105) (xy 152.965376 83.134745) (xy 152.895801 83.097556) (xy 152.93125 83.031235) (xy 152.961385 82.931895) - (xy 152.967291 82.871925) (xy 153.023564 82.928198) (xy 153.142636 83.007759) (xy 153.274942 83.062562) (xy 153.415397 83.0905) - (xy 153.558603 83.0905) (xy 153.699058 83.062562) (xy 153.831364 83.007759) (xy 153.950436 82.928198) (xy 154.051698 82.826936) - (xy 154.131259 82.707864) (xy 154.186062 82.575558) (xy 154.214 82.435103) (xy 154.214 82.291897) (xy 154.195732 82.200058) - (xy 154.351224 82.044566) (xy 154.49 82.044566) (xy 154.600044 82.033728) (xy 154.705859 82.001629) (xy 154.803378 81.949504) - (xy 154.888855 81.879355) (xy 154.959004 81.793878) (xy 155.011129 81.696359) (xy 155.043228 81.590544) (xy 155.054066 81.4805) - (xy 155.054066 81.2105) (xy 155.043228 81.100456) (xy 155.011129 80.994641) (xy 154.99371 80.962052) (xy 154.994942 80.962562) - (xy 155.135397 80.9905) (xy 155.278603 80.9905) (xy 155.419058 80.962562) (xy 155.551364 80.907759) (xy 155.670436 80.828198) - (xy 155.771698 80.726936) (xy 155.851259 80.607864) (xy 155.906062 80.475558) (xy 155.934 80.335103) (xy 155.934 80.191897) - (xy 155.906062 80.051442) (xy 155.858038 79.9355) (xy 157.231934 79.9355) (xy 157.234 79.95675) (xy 157.34075 80.0635) - (xy 157.788 80.0635) (xy 157.788 79.61525) (xy 157.68125 79.5085) (xy 157.661 79.506434) (xy 157.577293 79.514678) - (xy 157.496804 79.539095) (xy 157.422624 79.578745) (xy 157.357605 79.632105) (xy 157.304245 79.697124) (xy 157.264595 79.771304) - (xy 157.240178 79.851793) (xy 157.231934 79.9355) (xy 155.858038 79.9355) (xy 155.851259 79.919136) (xy 155.786467 79.822167) - (xy 155.851698 79.756936) (xy 155.931259 79.637864) (xy 155.986062 79.505558) (xy 156.014 79.365103) (xy 156.014 79.221897) - (xy 155.986062 79.081442) (xy 155.931259 78.949136) (xy 155.851698 78.830064) (xy 155.750436 78.728802) (xy 155.631364 78.649241) - (xy 155.499058 78.594438) (xy 155.358603 78.5665) (xy 155.215397 78.5665) (xy 155.074942 78.594438) (xy 154.942636 78.649241) - (xy 154.823564 78.728802) (xy 154.722302 78.830064) (xy 154.642741 78.949136) (xy 154.587938 79.081442) (xy 154.56 79.221897) - (xy 154.56 79.365103) (xy 154.587938 79.505558) (xy 154.642741 79.637864) (xy 154.653099 79.653366) (xy 154.600044 79.637272) - (xy 154.49 79.626434) (xy 154.12 79.626434) (xy 154.009956 79.637272) (xy 153.904141 79.669371) (xy 153.806622 79.721496) - (xy 153.78834 79.7365) (xy 153.594112 79.7365) (xy 153.583755 79.717124) (xy 153.530395 79.652105) (xy 153.465376 79.598745) - (xy 153.391196 79.559095) (xy 153.310707 79.534678) (xy 153.227 79.526434) (xy 153.025224 79.526434) (xy 153.001444 79.502654) - (xy 153.030395 79.478895) (xy 153.083755 79.413876) (xy 153.123405 79.339696) (xy 153.147822 79.259207) (xy 153.156066 79.1755) - (xy 153.154 79.15425) (xy 153.04725 79.0475) (xy 152.6 79.0475) (xy 152.6 79.0675) (xy 152.562565 79.0675) - (xy 152.523203 79.035197) (xy 152.431651 78.986262) (xy 152.332311 78.956127) (xy 152.254882 78.948501) (xy 152.229001 78.945952) - (xy 152.20312 78.948501) (xy 150.960135 78.948501) (xy 150.890436 78.878802) (xy 150.771364 78.799241) (xy 150.639058 78.744438) - (xy 150.498603 78.7165) (xy 150.355397 78.7165) (xy 150.214942 78.744438) (xy 150.082636 78.799241) (xy 149.963564 78.878802) - (xy 149.862302 78.980064) (xy 149.782741 79.099136) (xy 149.727938 79.231442) (xy 149.7 79.371897) (xy 149.7 79.515103) - (xy 149.727938 79.655558) (xy 149.771665 79.761124) (xy 149.723378 79.721496) (xy 149.625859 79.669371) (xy 149.520044 79.637272) - (xy 149.41 79.626434) (xy 149.286389 79.626434) (xy 149.286389 79.57283) (xy 149.258451 79.432375) (xy 149.203648 79.300069) - (xy 149.124087 79.180997) (xy 149.022825 79.079735) (xy 148.903753 79.000174) (xy 148.771447 78.945371) (xy 148.630992 78.917433) - (xy 148.577679 78.917433) (xy 148.226411 78.566166) (xy 148.209904 78.546052) (xy 148.129658 78.480196) (xy 148.038106 78.431261) - (xy 147.996889 78.418758) (xy 147.950395 78.362105) (xy 147.885376 78.308745) (xy 147.811196 78.269095) (xy 147.730707 78.244678) - (xy 147.678131 78.2395) (xy 152.295869 78.2395) + (xy 152.243293 78.244678) + (xy 152.162804 78.269095) + (xy 152.088624 78.308745) + (xy 152.023605 78.362105) + (xy 151.970245 78.427124) + (xy 151.930595 78.501304) + (xy 151.906178 78.581793) + (xy 151.897934 78.6655) + (xy 151.9 78.68675) + (xy 152.00675 78.7935) + (xy 152.454 78.7935) + (xy 152.454 78.7735) + (xy 152.6 78.7735) + (xy 152.6 78.7935) + (xy 153.04725 78.7935) + (xy 153.154 78.68675) + (xy 153.156066 78.6655) + (xy 153.147822 78.581793) + (xy 153.123405 78.501304) + (xy 153.083755 78.427124) + (xy 153.030395 78.362105) + (xy 152.965376 78.308745) + (xy 152.891196 78.269095) + (xy 152.810707 78.244678) + (xy 152.758131 78.2395) + (xy 159.108891 78.2395) + (xy 159.388134 78.26688) + (xy 159.635473 78.341555) + (xy 159.863597 78.462851) + (xy 160.063819 78.626148) + (xy 160.228507 78.825221) + (xy 160.351393 79.052494) + (xy 160.427794 79.299306) + (xy 160.457001 79.577195) + (xy 160.457001 80.428211) + (xy 159.937955 79.909166) + (xy 159.921448 79.889052) + (xy 159.841202 79.823196) + (xy 159.74965 79.774261) + (xy 159.65031 79.744126) + (xy 159.572881 79.7365) + (xy 159.547 79.733951) + (xy 159.521119 79.7365) + (xy 158.742881 79.7365) + (xy 158.717 79.733951) + (xy 158.691119 79.7365) + (xy 158.61369 79.744126) + (xy 158.51435 79.774261) + (xy 158.466122 79.800039) + (xy 158.457405 79.771304) + (xy 158.417755 79.697124) + (xy 158.364395 79.632105) + (xy 158.299376 79.578745) + (xy 158.225196 79.539095) + (xy 158.144707 79.514678) + (xy 158.061 79.506434) + (xy 158.04075 79.5085) + (xy 157.934 79.61525) + (xy 157.934 80.0635) + (xy 158.008 80.0635) + (xy 158.008 80.22721) + (xy 157.99871 80.2365) + (xy 156.90288 80.2365) + (xy 156.876999 80.233951) + (xy 156.77369 80.244126) + (xy 156.67435 80.274261) + (xy 156.582798 80.323196) + (xy 156.502552 80.389052) + (xy 156.486045 80.409166) + (xy 156.212666 80.682545) + (xy 156.192552 80.699052) + (xy 156.126696 80.779298) + (xy 156.083906 80.859355) + (xy 156.077761 80.870851) + (xy 156.047626 80.970191) + (xy 156.037451 81.0735) + (xy 156.04 81.099381) + (xy 156.04 81.778619) + (xy 156.037451 81.8045) + (xy 156.047626 81.907809) + (xy 156.077761 82.007149) + (xy 156.126696 82.098701) + (xy 156.126697 82.098702) + (xy 156.192553 82.178948) + (xy 156.212661 82.195451) + (xy 156.651934 82.634724) + (xy 156.651934 82.786) + (xy 156.663013 82.898482) + (xy 156.695822 83.006642) + (xy 156.749103 83.106323) + (xy 156.820806 83.193694) + (xy 156.887588 83.2485) + (xy 156.820806 83.303306) + (xy 156.749103 83.390677) + (xy 156.695822 83.490358) + (xy 156.663013 83.598518) + (xy 156.651934 83.711) + (xy 156.651934 84.056) + (xy 156.663013 84.168482) + (xy 156.695822 84.276642) + (xy 156.749103 84.376323) + (xy 156.820806 84.463694) + (xy 156.834 84.474522) + (xy 156.834001 85.776867) + (xy 156.804245 85.813124) + (xy 156.764595 85.887304) + (xy 156.740178 85.967793) + (xy 156.731934 86.0515) + (xy 156.731934 86.5615) + (xy 156.740178 86.645207) + (xy 156.764595 86.725696) + (xy 156.804245 86.799876) + (xy 156.834 86.836132) + (xy 156.834001 91.600209) + (xy 156.406713 92.027498) + (xy 156.074875 92.027498) + (xy 156.048997 92.024949) + (xy 156.023119 92.027498) + (xy 156.023117 92.027498) + (xy 155.945688 92.035124) + (xy 155.846348 92.065259) + (xy 155.754796 92.114194) + (xy 155.67455 92.18005) + (xy 155.658047 92.200159) + (xy 155.624 92.234206) + (xy 155.624 92.109381) + (xy 155.626549 92.0835) + (xy 155.624 92.057619) + (xy 155.624 90.79538) + (xy 155.626549 90.769499) + (xy 155.616374 90.66619) + (xy 155.586239 90.56685) + (xy 155.578995 90.553298) + (xy 155.537304 90.475298) + (xy 155.471448 90.395052) + (xy 155.451339 90.378549) + (xy 155.054066 89.981277) + (xy 155.054066 89.973724) + (xy 155.279354 89.748437) + (xy 155.299458 89.731938) + (xy 155.365314 89.651692) + (xy 155.414249 89.56014) + (xy 155.444384 89.4608) + (xy 155.45201 89.383371) + (xy 155.454559 89.35749) + (xy 155.45201 89.331609) + (xy 155.45201 85.62739) + (xy 155.454559 85.601509) + (xy 155.444384 85.4982) + (xy 155.414249 85.39886) + (xy 155.407989 85.387149) + (xy 155.365314 85.307308) + (xy 155.299458 85.227062) + (xy 155.279349 85.210559) + (xy 155.050655 84.981865) + (xy 155.043228 84.906456) + (xy 155.011129 84.800641) + (xy 154.959004 84.703122) + (xy 154.888855 84.617645) + (xy 154.803378 84.547496) + (xy 154.705859 84.495371) + (xy 154.600044 84.463272) + (xy 154.49 84.452434) + (xy 154.12 84.452434) + (xy 154.009956 84.463272) + (xy 153.904141 84.495371) + (xy 153.877708 84.5095) + (xy 153.55616 84.5095) + (xy 153.530395 84.478105) + (xy 153.465376 84.424745) + (xy 153.391196 84.385095) + (xy 153.310707 84.360678) + (xy 153.227 84.352434) + (xy 152.972469 84.352434) + (xy 153.030395 84.304895) + (xy 153.083755 84.239876) + (xy 153.123405 84.165696) + (xy 153.147822 84.085207) + (xy 153.156066 84.0015) + (xy 153.154 83.98025) + (xy 153.04725 83.8735) + (xy 152.6 83.8735) + (xy 152.6 84.32175) + (xy 152.663219 84.384969) + (xy 152.662804 84.385095) + (xy 152.588624 84.424745) + (xy 152.527 84.475319) + (xy 152.465376 84.424745) + (xy 152.391196 84.385095) + (xy 152.390781 84.384969) + (xy 152.454 84.32175) + (xy 152.454 83.8735) + (xy 152.38 83.8735) + (xy 152.38 83.635886) + (xy 152.396386 83.6195) + (xy 152.454 83.6195) + (xy 152.454 83.5995) + (xy 152.6 83.5995) + (xy 152.6 83.6195) + (xy 153.04725 83.6195) + (xy 153.154 83.51275) + (xy 153.156066 83.4915) + (xy 153.147822 83.407793) + (xy 153.123405 83.327304) + (xy 153.083755 83.253124) + (xy 153.030395 83.188105) + (xy 152.965376 83.134745) + (xy 152.895801 83.097556) + (xy 152.93125 83.031235) + (xy 152.961385 82.931895) + (xy 152.967291 82.871925) + (xy 153.023564 82.928198) + (xy 153.142636 83.007759) + (xy 153.274942 83.062562) + (xy 153.415397 83.0905) + (xy 153.558603 83.0905) + (xy 153.699058 83.062562) + (xy 153.831364 83.007759) + (xy 153.950436 82.928198) + (xy 154.051698 82.826936) + (xy 154.131259 82.707864) + (xy 154.186062 82.575558) + (xy 154.214 82.435103) + (xy 154.214 82.291897) + (xy 154.195732 82.200058) + (xy 154.351224 82.044566) + (xy 154.49 82.044566) + (xy 154.600044 82.033728) + (xy 154.705859 82.001629) + (xy 154.803378 81.949504) + (xy 154.888855 81.879355) + (xy 154.959004 81.793878) + (xy 155.011129 81.696359) + (xy 155.043228 81.590544) + (xy 155.054066 81.4805) + (xy 155.054066 81.2105) + (xy 155.043228 81.100456) + (xy 155.011129 80.994641) + (xy 154.99371 80.962052) + (xy 154.994942 80.962562) + (xy 155.135397 80.9905) + (xy 155.278603 80.9905) + (xy 155.419058 80.962562) + (xy 155.551364 80.907759) + (xy 155.670436 80.828198) + (xy 155.771698 80.726936) + (xy 155.851259 80.607864) + (xy 155.906062 80.475558) + (xy 155.934 80.335103) + (xy 155.934 80.191897) + (xy 155.906062 80.051442) + (xy 155.858038 79.9355) + (xy 157.231934 79.9355) + (xy 157.234 79.95675) + (xy 157.34075 80.0635) + (xy 157.788 80.0635) + (xy 157.788 79.61525) + (xy 157.68125 79.5085) + (xy 157.661 79.506434) + (xy 157.577293 79.514678) + (xy 157.496804 79.539095) + (xy 157.422624 79.578745) + (xy 157.357605 79.632105) + (xy 157.304245 79.697124) + (xy 157.264595 79.771304) + (xy 157.240178 79.851793) + (xy 157.231934 79.9355) + (xy 155.858038 79.9355) + (xy 155.851259 79.919136) + (xy 155.786467 79.822167) + (xy 155.851698 79.756936) + (xy 155.931259 79.637864) + (xy 155.986062 79.505558) + (xy 156.014 79.365103) + (xy 156.014 79.221897) + (xy 155.986062 79.081442) + (xy 155.931259 78.949136) + (xy 155.851698 78.830064) + (xy 155.750436 78.728802) + (xy 155.631364 78.649241) + (xy 155.499058 78.594438) + (xy 155.358603 78.5665) + (xy 155.215397 78.5665) + (xy 155.074942 78.594438) + (xy 154.942636 78.649241) + (xy 154.823564 78.728802) + (xy 154.722302 78.830064) + (xy 154.642741 78.949136) + (xy 154.587938 79.081442) + (xy 154.56 79.221897) + (xy 154.56 79.365103) + (xy 154.587938 79.505558) + (xy 154.642741 79.637864) + (xy 154.653099 79.653366) + (xy 154.600044 79.637272) + (xy 154.49 79.626434) + (xy 154.12 79.626434) + (xy 154.009956 79.637272) + (xy 153.904141 79.669371) + (xy 153.806622 79.721496) + (xy 153.78834 79.7365) + (xy 153.594112 79.7365) + (xy 153.583755 79.717124) + (xy 153.530395 79.652105) + (xy 153.465376 79.598745) + (xy 153.391196 79.559095) + (xy 153.310707 79.534678) + (xy 153.227 79.526434) + (xy 153.025224 79.526434) + (xy 153.001444 79.502654) + (xy 153.030395 79.478895) + (xy 153.083755 79.413876) + (xy 153.123405 79.339696) + (xy 153.147822 79.259207) + (xy 153.156066 79.1755) + (xy 153.154 79.15425) + (xy 153.04725 79.0475) + (xy 152.6 79.0475) + (xy 152.6 79.0675) + (xy 152.562565 79.0675) + (xy 152.523203 79.035197) + (xy 152.431651 78.986262) + (xy 152.332311 78.956127) + (xy 152.254882 78.948501) + (xy 152.229001 78.945952) + (xy 152.20312 78.948501) + (xy 150.960135 78.948501) + (xy 150.890436 78.878802) + (xy 150.771364 78.799241) + (xy 150.639058 78.744438) + (xy 150.498603 78.7165) + (xy 150.355397 78.7165) + (xy 150.214942 78.744438) + (xy 150.082636 78.799241) + (xy 149.963564 78.878802) + (xy 149.862302 78.980064) + (xy 149.782741 79.099136) + (xy 149.727938 79.231442) + (xy 149.7 79.371897) + (xy 149.7 79.515103) + (xy 149.727938 79.655558) + (xy 149.771665 79.761124) + (xy 149.723378 79.721496) + (xy 149.625859 79.669371) + (xy 149.520044 79.637272) + (xy 149.41 79.626434) + (xy 149.286389 79.626434) + (xy 149.286389 79.57283) + (xy 149.258451 79.432375) + (xy 149.203648 79.300069) + (xy 149.124087 79.180997) + (xy 149.022825 79.079735) + (xy 148.903753 79.000174) + (xy 148.771447 78.945371) + (xy 148.630992 78.917433) + (xy 148.577679 78.917433) + (xy 148.226411 78.566166) + (xy 148.209904 78.546052) + (xy 148.129658 78.480196) + (xy 148.038106 78.431261) + (xy 147.996889 78.418758) + (xy 147.950395 78.362105) + (xy 147.885376 78.308745) + (xy 147.811196 78.269095) + (xy 147.730707 78.244678) + (xy 147.678131 78.2395) + (xy 152.295869 78.2395) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 151.8945 86.918566) (xy 152.045777 86.918566) (xy 152.405049 87.277839) (xy 152.421552 87.297948) (xy 152.488693 87.353049) - (xy 152.501798 87.363804) (xy 152.59335 87.412739) (xy 152.69269 87.442874) (xy 152.795999 87.453049) (xy 152.82188 87.4505) - (xy 153.751119 87.4505) (xy 153.777 87.453049) (xy 153.802881 87.4505) (xy 153.88031 87.442874) (xy 153.97965 87.412739) - (xy 154.071202 87.363804) (xy 154.151448 87.297948) (xy 154.167955 87.277834) (xy 154.39801 87.04778) (xy 154.398011 89.139199) - (xy 154.258776 89.278434) (xy 154.12 89.278434) (xy 154.009956 89.289272) (xy 153.904141 89.321371) (xy 153.806622 89.373496) - (xy 153.721145 89.443645) (xy 153.715519 89.4505) (xy 153.625588 89.4505) (xy 153.623405 89.443304) (xy 153.583755 89.369124) - (xy 153.530395 89.304105) (xy 153.465376 89.250745) (xy 153.391196 89.211095) (xy 153.310707 89.186678) (xy 153.227 89.178434) - (xy 152.972469 89.178434) (xy 153.030395 89.130895) (xy 153.083755 89.065876) (xy 153.123405 88.991696) (xy 153.147822 88.911207) - (xy 153.156066 88.8275) (xy 153.154 88.80625) (xy 153.04725 88.6995) (xy 152.6 88.6995) (xy 152.6 88.7195) - (xy 152.554 88.7195) (xy 152.554 88.109377) (xy 152.556549 88.083499) (xy 152.552555 88.042951) (xy 152.548055 87.99725) - (xy 152.6 87.99725) (xy 152.6 88.4455) (xy 153.04725 88.4455) (xy 153.154 88.33875) (xy 153.156066 88.3175) - (xy 153.147822 88.233793) (xy 153.123405 88.153304) (xy 153.083755 88.079124) (xy 153.030395 88.014105) (xy 152.965376 87.960745) - (xy 152.891196 87.921095) (xy 152.810707 87.896678) (xy 152.727 87.888434) (xy 152.70675 87.8905) (xy 152.6 87.99725) - (xy 152.548055 87.99725) (xy 152.546374 87.98019) (xy 152.516239 87.88085) (xy 152.467304 87.789298) (xy 152.401448 87.709052) - (xy 152.381339 87.692549) (xy 152.144 87.45521) (xy 152.144 87.401897) (xy 152.116062 87.261442) (xy 152.061259 87.129136) - (xy 151.981698 87.010064) (xy 151.88973 86.918096) + (xy 151.8945 86.918566) + (xy 152.045777 86.918566) + (xy 152.405049 87.277839) + (xy 152.421552 87.297948) + (xy 152.488693 87.353049) + (xy 152.501798 87.363804) + (xy 152.59335 87.412739) + (xy 152.69269 87.442874) + (xy 152.795999 87.453049) + (xy 152.82188 87.4505) + (xy 153.751119 87.4505) + (xy 153.777 87.453049) + (xy 153.802881 87.4505) + (xy 153.88031 87.442874) + (xy 153.97965 87.412739) + (xy 154.071202 87.363804) + (xy 154.151448 87.297948) + (xy 154.167955 87.277834) + (xy 154.39801 87.04778) + (xy 154.398011 89.139199) + (xy 154.258776 89.278434) + (xy 154.12 89.278434) + (xy 154.009956 89.289272) + (xy 153.904141 89.321371) + (xy 153.806622 89.373496) + (xy 153.721145 89.443645) + (xy 153.715519 89.4505) + (xy 153.625588 89.4505) + (xy 153.623405 89.443304) + (xy 153.583755 89.369124) + (xy 153.530395 89.304105) + (xy 153.465376 89.250745) + (xy 153.391196 89.211095) + (xy 153.310707 89.186678) + (xy 153.227 89.178434) + (xy 152.972469 89.178434) + (xy 153.030395 89.130895) + (xy 153.083755 89.065876) + (xy 153.123405 88.991696) + (xy 153.147822 88.911207) + (xy 153.156066 88.8275) + (xy 153.154 88.80625) + (xy 153.04725 88.6995) + (xy 152.6 88.6995) + (xy 152.6 88.7195) + (xy 152.554 88.7195) + (xy 152.554 88.109377) + (xy 152.556549 88.083499) + (xy 152.552555 88.042951) + (xy 152.548055 87.99725) + (xy 152.6 87.99725) + (xy 152.6 88.4455) + (xy 153.04725 88.4455) + (xy 153.154 88.33875) + (xy 153.156066 88.3175) + (xy 153.147822 88.233793) + (xy 153.123405 88.153304) + (xy 153.083755 88.079124) + (xy 153.030395 88.014105) + (xy 152.965376 87.960745) + (xy 152.891196 87.921095) + (xy 152.810707 87.896678) + (xy 152.727 87.888434) + (xy 152.70675 87.8905) + (xy 152.6 87.99725) + (xy 152.548055 87.99725) + (xy 152.546374 87.98019) + (xy 152.516239 87.88085) + (xy 152.467304 87.789298) + (xy 152.401448 87.709052) + (xy 152.381339 87.692549) + (xy 152.144 87.45521) + (xy 152.144 87.401897) + (xy 152.116062 87.261442) + (xy 152.061259 87.129136) + (xy 151.981698 87.010064) + (xy 151.88973 86.918096) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 146.426054 80.747509) (xy 146.406806 80.763306) (xy 146.335103 80.850677) (xy 146.281822 80.950358) (xy 146.249013 81.058518) - (xy 146.237934 81.171) (xy 146.237934 81.217276) (xy 146.018711 81.4365) (xy 145.965397 81.4365) (xy 145.824942 81.464438) - (xy 145.692636 81.519241) (xy 145.573564 81.598802) (xy 145.472302 81.700064) (xy 145.392741 81.819136) (xy 145.337938 81.951442) - (xy 145.31 82.091897) (xy 145.31 82.235103) (xy 145.337938 82.375558) (xy 145.392741 82.507864) (xy 145.472302 82.626936) - (xy 145.573564 82.728198) (xy 145.64798 82.777921) (xy 145.564942 82.794438) (xy 145.432636 82.849241) (xy 145.313564 82.928802) - (xy 145.212302 83.030064) (xy 145.132741 83.149136) (xy 145.077938 83.281442) (xy 145.05 83.421897) (xy 145.05 83.565103) - (xy 145.077938 83.705558) (xy 145.132741 83.837864) (xy 145.212302 83.956936) (xy 145.313564 84.058198) (xy 145.432636 84.137759) - (xy 145.564942 84.192562) (xy 145.705397 84.2205) (xy 145.75871 84.2205) (xy 146.187771 84.649562) (xy 146.172552 84.662052) - (xy 146.156054 84.682155) (xy 145.192662 85.645549) (xy 145.172553 85.662052) (xy 145.12976 85.714196) (xy 145.106696 85.742299) - (xy 145.057761 85.833851) (xy 145.027626 85.933191) (xy 145.017451 86.0365) (xy 145.020001 86.06239) (xy 145.02 87.277619) - (xy 145.017451 87.3035) (xy 145.020001 87.329391) (xy 145.020001 87.74468) (xy 144.928603 87.7265) (xy 144.832001 87.7265) - (xy 144.832001 82.37191) (xy 144.851449 82.355949) (xy 144.917305 82.275703) (xy 144.96624 82.184151) (xy 144.996375 82.084811) - (xy 145.004001 82.007382) (xy 145.00655 81.981501) (xy 145.004001 81.95562) (xy 145.004001 81.43138) (xy 145.00655 81.405499) - (xy 144.996375 81.302189) (xy 144.995005 81.297672) (xy 145.091364 81.257759) (xy 145.210436 81.178198) (xy 145.311698 81.076936) - (xy 145.391259 80.957864) (xy 145.446062 80.825558) (xy 145.463578 80.7375) (xy 146.41784 80.7375) + (xy 146.426054 80.747509) + (xy 146.406806 80.763306) + (xy 146.335103 80.850677) + (xy 146.281822 80.950358) + (xy 146.249013 81.058518) + (xy 146.237934 81.171) + (xy 146.237934 81.217276) + (xy 146.018711 81.4365) + (xy 145.965397 81.4365) + (xy 145.824942 81.464438) + (xy 145.692636 81.519241) + (xy 145.573564 81.598802) + (xy 145.472302 81.700064) + (xy 145.392741 81.819136) + (xy 145.337938 81.951442) + (xy 145.31 82.091897) + (xy 145.31 82.235103) + (xy 145.337938 82.375558) + (xy 145.392741 82.507864) + (xy 145.472302 82.626936) + (xy 145.573564 82.728198) + (xy 145.64798 82.777921) + (xy 145.564942 82.794438) + (xy 145.432636 82.849241) + (xy 145.313564 82.928802) + (xy 145.212302 83.030064) + (xy 145.132741 83.149136) + (xy 145.077938 83.281442) + (xy 145.05 83.421897) + (xy 145.05 83.565103) + (xy 145.077938 83.705558) + (xy 145.132741 83.837864) + (xy 145.212302 83.956936) + (xy 145.313564 84.058198) + (xy 145.432636 84.137759) + (xy 145.564942 84.192562) + (xy 145.705397 84.2205) + (xy 145.75871 84.2205) + (xy 146.187771 84.649562) + (xy 146.172552 84.662052) + (xy 146.156054 84.682155) + (xy 145.192662 85.645549) + (xy 145.172553 85.662052) + (xy 145.12976 85.714196) + (xy 145.106696 85.742299) + (xy 145.057761 85.833851) + (xy 145.027626 85.933191) + (xy 145.017451 86.0365) + (xy 145.020001 86.06239) + (xy 145.02 87.277619) + (xy 145.017451 87.3035) + (xy 145.020001 87.329391) + (xy 145.020001 87.74468) + (xy 144.928603 87.7265) + (xy 144.832001 87.7265) + (xy 144.832001 82.37191) + (xy 144.851449 82.355949) + (xy 144.917305 82.275703) + (xy 144.96624 82.184151) + (xy 144.996375 82.084811) + (xy 145.004001 82.007382) + (xy 145.00655 81.981501) + (xy 145.004001 81.95562) + (xy 145.004001 81.43138) + (xy 145.00655 81.405499) + (xy 144.996375 81.302189) + (xy 144.995005 81.297672) + (xy 145.091364 81.257759) + (xy 145.210436 81.178198) + (xy 145.311698 81.076936) + (xy 145.391259 80.957864) + (xy 145.446062 80.825558) + (xy 145.463578 80.7375) + (xy 146.41784 80.7375) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 134.717293 78.244678) (xy 134.636804 78.269095) (xy 134.562624 78.308745) (xy 134.497605 78.362105) (xy 134.444245 78.427124) - (xy 134.404595 78.501304) (xy 134.380178 78.581793) (xy 134.371934 78.6655) (xy 134.371934 79.0365) (xy 134.04497 79.0365) - (xy 134.026062 78.941442) (xy 133.971259 78.809136) (xy 133.891698 78.690064) (xy 133.790436 78.588802) (xy 133.671364 78.509241) - (xy 133.539058 78.454438) (xy 133.398603 78.4265) (xy 133.255397 78.4265) (xy 133.114942 78.454438) (xy 132.982636 78.509241) - (xy 132.863564 78.588802) (xy 132.762302 78.690064) (xy 132.682741 78.809136) (xy 132.627938 78.941442) (xy 132.6 79.081897) - (xy 132.6 79.225103) (xy 132.627938 79.365558) (xy 132.682741 79.497864) (xy 132.762302 79.616936) (xy 132.863564 79.718198) - (xy 132.982636 79.797759) (xy 133.114942 79.852562) (xy 133.255397 79.8805) (xy 133.308709 79.8805) (xy 133.346053 79.917844) - (xy 133.362552 79.937948) (xy 133.442798 80.003804) (xy 133.53435 80.052739) (xy 133.569824 80.0635) (xy 133.63369 80.082874) - (xy 133.737 80.093049) (xy 133.762881 80.0905) (xy 133.871934 80.0905) (xy 133.871934 80.4655) (xy 133.880178 80.549207) - (xy 133.904595 80.629696) (xy 133.944245 80.703876) (xy 133.974 80.740133) (xy 133.974 80.752478) (xy 133.960806 80.763306) - (xy 133.917151 80.8165) (xy 133.472881 80.8165) (xy 133.447 80.813951) (xy 133.421119 80.8165) (xy 133.34369 80.824126) - (xy 133.24435 80.854261) (xy 133.152798 80.903196) (xy 133.072552 80.969052) (xy 133.056054 80.989155) (xy 132.532666 81.512545) - (xy 132.512552 81.529052) (xy 132.446696 81.609298) (xy 132.421654 81.656149) (xy 132.397761 81.700851) (xy 132.367626 81.800191) - (xy 132.357451 81.9035) (xy 132.36 81.929381) (xy 132.360001 85.907609) (xy 132.357451 85.9335) (xy 132.367626 86.036809) - (xy 132.397761 86.136149) (xy 132.444797 86.224148) (xy 132.446697 86.227702) (xy 132.512553 86.307948) (xy 132.532662 86.324451) - (xy 132.938914 86.730704) (xy 132.853055 86.747782) (xy 132.720749 86.802585) (xy 132.601677 86.882146) (xy 132.500415 86.983408) - (xy 132.420854 87.10248) (xy 132.366051 87.234786) (xy 132.364668 87.241738) (xy 129.820528 87.241738) (xy 129.631356 87.052566) - (xy 129.857 87.052566) (xy 129.940707 87.044322) (xy 130.021196 87.019905) (xy 130.095376 86.980255) (xy 130.160395 86.926895) - (xy 130.213755 86.861876) (xy 130.253405 86.787696) (xy 130.277822 86.707207) (xy 130.286066 86.6235) (xy 130.286066 86.59989) - (xy 130.364942 86.632562) (xy 130.505397 86.6605) (xy 130.648603 86.6605) (xy 130.789058 86.632562) (xy 130.921364 86.577759) - (xy 131.040436 86.498198) (xy 131.141698 86.396936) (xy 131.221259 86.277864) (xy 131.276062 86.145558) (xy 131.304 86.005103) - (xy 131.304 85.861897) (xy 131.276062 85.721442) (xy 131.221917 85.590725) (xy 131.232044 85.589728) (xy 131.337859 85.557629) - (xy 131.435378 85.505504) (xy 131.520855 85.435355) (xy 131.591004 85.349878) (xy 131.643129 85.252359) (xy 131.675228 85.146544) - (xy 131.686066 85.0365) (xy 131.686066 84.7665) (xy 131.675228 84.656456) (xy 131.643129 84.550641) (xy 131.591004 84.453122) - (xy 131.540432 84.3915) (xy 131.591004 84.329878) (xy 131.643129 84.232359) (xy 131.675228 84.126544) (xy 131.686066 84.0165) - (xy 131.686066 83.7465) (xy 131.675228 83.636456) (xy 131.643129 83.530641) (xy 131.591004 83.433122) (xy 131.520855 83.347645) - (xy 131.435378 83.277496) (xy 131.38113 83.2485) (xy 131.435378 83.219504) (xy 131.520855 83.149355) (xy 131.591004 83.063878) - (xy 131.643129 82.966359) (xy 131.675228 82.860544) (xy 131.686066 82.7505) (xy 131.686066 82.4805) (xy 131.675228 82.370456) - (xy 131.643129 82.264641) (xy 131.591004 82.167122) (xy 131.540432 82.1055) (xy 131.591004 82.043878) (xy 131.643129 81.946359) - (xy 131.675228 81.840544) (xy 131.686066 81.7305) (xy 131.686066 81.4605) (xy 131.675228 81.350456) (xy 131.643129 81.244641) - (xy 131.591004 81.147122) (xy 131.520855 81.061645) (xy 131.435378 80.991496) (xy 131.337859 80.939371) (xy 131.232044 80.907272) - (xy 131.122 80.896434) (xy 130.752 80.896434) (xy 130.641956 80.907272) (xy 130.536141 80.939371) (xy 130.438622 80.991496) - (xy 130.353145 81.061645) (xy 130.347519 81.0685) (xy 130.273588 81.0685) (xy 130.271405 81.061304) (xy 130.231755 80.987124) - (xy 130.178395 80.922105) (xy 130.113376 80.868745) (xy 130.039196 80.829095) (xy 129.958707 80.804678) (xy 129.875 80.796434) - (xy 129.736223 80.796434) (xy 129.683033 80.743244) (xy 129.731755 80.683876) (xy 129.771405 80.609696) (xy 129.795822 80.529207) - (xy 129.804066 80.4455) (xy 129.802 80.42425) (xy 129.69525 80.3175) (xy 129.248 80.3175) (xy 129.248 80.3375) - (xy 129.102 80.3375) (xy 129.102 80.3175) (xy 128.65475 80.3175) (xy 128.61575 80.3565) (xy 128.082881 80.3565) - (xy 128.057 80.353951) (xy 128.031119 80.3565) (xy 127.95369 80.364126) (xy 127.85435 80.394261) (xy 127.762798 80.443196) - (xy 127.682552 80.509052) (xy 127.666053 80.529156) (xy 127.132666 81.062545) (xy 127.112552 81.079052) (xy 127.071 81.129683) - (xy 127.071 79.9355) (xy 128.545934 79.9355) (xy 128.548 79.95675) (xy 128.65475 80.0635) (xy 129.102 80.0635) - (xy 129.102 79.61525) (xy 129.248 79.61525) (xy 129.248 80.0635) (xy 129.69525 80.0635) (xy 129.802 79.95675) - (xy 129.804066 79.9355) (xy 129.795822 79.851793) (xy 129.771405 79.771304) (xy 129.731755 79.697124) (xy 129.678395 79.632105) - (xy 129.613376 79.578745) (xy 129.539196 79.539095) (xy 129.458707 79.514678) (xy 129.375 79.506434) (xy 129.35475 79.5085) - (xy 129.248 79.61525) (xy 129.102 79.61525) (xy 128.99525 79.5085) (xy 128.975 79.506434) (xy 128.891293 79.514678) - (xy 128.810804 79.539095) (xy 128.736624 79.578745) (xy 128.671605 79.632105) (xy 128.618245 79.697124) (xy 128.578595 79.771304) - (xy 128.554178 79.851793) (xy 128.545934 79.9355) (xy 127.071 79.9355) (xy 127.071 79.587609) (xy 127.09838 79.308366) - (xy 127.173055 79.061027) (xy 127.294351 78.832903) (xy 127.457648 78.632681) (xy 127.656721 78.467993) (xy 127.883994 78.345107) - (xy 128.130806 78.268706) (xy 128.408686 78.2395) (xy 134.769869 78.2395) + (xy 134.717293 78.244678) + (xy 134.636804 78.269095) + (xy 134.562624 78.308745) + (xy 134.497605 78.362105) + (xy 134.444245 78.427124) + (xy 134.404595 78.501304) + (xy 134.380178 78.581793) + (xy 134.371934 78.6655) + (xy 134.371934 79.0365) + (xy 134.04497 79.0365) + (xy 134.026062 78.941442) + (xy 133.971259 78.809136) + (xy 133.891698 78.690064) + (xy 133.790436 78.588802) + (xy 133.671364 78.509241) + (xy 133.539058 78.454438) + (xy 133.398603 78.4265) + (xy 133.255397 78.4265) + (xy 133.114942 78.454438) + (xy 132.982636 78.509241) + (xy 132.863564 78.588802) + (xy 132.762302 78.690064) + (xy 132.682741 78.809136) + (xy 132.627938 78.941442) + (xy 132.6 79.081897) + (xy 132.6 79.225103) + (xy 132.627938 79.365558) + (xy 132.682741 79.497864) + (xy 132.762302 79.616936) + (xy 132.863564 79.718198) + (xy 132.982636 79.797759) + (xy 133.114942 79.852562) + (xy 133.255397 79.8805) + (xy 133.308709 79.8805) + (xy 133.346053 79.917844) + (xy 133.362552 79.937948) + (xy 133.442798 80.003804) + (xy 133.53435 80.052739) + (xy 133.569824 80.0635) + (xy 133.63369 80.082874) + (xy 133.737 80.093049) + (xy 133.762881 80.0905) + (xy 133.871934 80.0905) + (xy 133.871934 80.4655) + (xy 133.880178 80.549207) + (xy 133.904595 80.629696) + (xy 133.944245 80.703876) + (xy 133.974 80.740133) + (xy 133.974 80.752478) + (xy 133.960806 80.763306) + (xy 133.917151 80.8165) + (xy 133.472881 80.8165) + (xy 133.447 80.813951) + (xy 133.421119 80.8165) + (xy 133.34369 80.824126) + (xy 133.24435 80.854261) + (xy 133.152798 80.903196) + (xy 133.072552 80.969052) + (xy 133.056054 80.989155) + (xy 132.532666 81.512545) + (xy 132.512552 81.529052) + (xy 132.446696 81.609298) + (xy 132.421654 81.656149) + (xy 132.397761 81.700851) + (xy 132.367626 81.800191) + (xy 132.357451 81.9035) + (xy 132.36 81.929381) + (xy 132.360001 85.907609) + (xy 132.357451 85.9335) + (xy 132.367626 86.036809) + (xy 132.397761 86.136149) + (xy 132.444797 86.224148) + (xy 132.446697 86.227702) + (xy 132.512553 86.307948) + (xy 132.532662 86.324451) + (xy 132.938914 86.730704) + (xy 132.853055 86.747782) + (xy 132.720749 86.802585) + (xy 132.601677 86.882146) + (xy 132.500415 86.983408) + (xy 132.420854 87.10248) + (xy 132.366051 87.234786) + (xy 132.364668 87.241738) + (xy 129.820528 87.241738) + (xy 129.631356 87.052566) + (xy 129.857 87.052566) + (xy 129.940707 87.044322) + (xy 130.021196 87.019905) + (xy 130.095376 86.980255) + (xy 130.160395 86.926895) + (xy 130.213755 86.861876) + (xy 130.253405 86.787696) + (xy 130.277822 86.707207) + (xy 130.286066 86.6235) + (xy 130.286066 86.59989) + (xy 130.364942 86.632562) + (xy 130.505397 86.6605) + (xy 130.648603 86.6605) + (xy 130.789058 86.632562) + (xy 130.921364 86.577759) + (xy 131.040436 86.498198) + (xy 131.141698 86.396936) + (xy 131.221259 86.277864) + (xy 131.276062 86.145558) + (xy 131.304 86.005103) + (xy 131.304 85.861897) + (xy 131.276062 85.721442) + (xy 131.221917 85.590725) + (xy 131.232044 85.589728) + (xy 131.337859 85.557629) + (xy 131.435378 85.505504) + (xy 131.520855 85.435355) + (xy 131.591004 85.349878) + (xy 131.643129 85.252359) + (xy 131.675228 85.146544) + (xy 131.686066 85.0365) + (xy 131.686066 84.7665) + (xy 131.675228 84.656456) + (xy 131.643129 84.550641) + (xy 131.591004 84.453122) + (xy 131.540432 84.3915) + (xy 131.591004 84.329878) + (xy 131.643129 84.232359) + (xy 131.675228 84.126544) + (xy 131.686066 84.0165) + (xy 131.686066 83.7465) + (xy 131.675228 83.636456) + (xy 131.643129 83.530641) + (xy 131.591004 83.433122) + (xy 131.520855 83.347645) + (xy 131.435378 83.277496) + (xy 131.38113 83.2485) + (xy 131.435378 83.219504) + (xy 131.520855 83.149355) + (xy 131.591004 83.063878) + (xy 131.643129 82.966359) + (xy 131.675228 82.860544) + (xy 131.686066 82.7505) + (xy 131.686066 82.4805) + (xy 131.675228 82.370456) + (xy 131.643129 82.264641) + (xy 131.591004 82.167122) + (xy 131.540432 82.1055) + (xy 131.591004 82.043878) + (xy 131.643129 81.946359) + (xy 131.675228 81.840544) + (xy 131.686066 81.7305) + (xy 131.686066 81.4605) + (xy 131.675228 81.350456) + (xy 131.643129 81.244641) + (xy 131.591004 81.147122) + (xy 131.520855 81.061645) + (xy 131.435378 80.991496) + (xy 131.337859 80.939371) + (xy 131.232044 80.907272) + (xy 131.122 80.896434) + (xy 130.752 80.896434) + (xy 130.641956 80.907272) + (xy 130.536141 80.939371) + (xy 130.438622 80.991496) + (xy 130.353145 81.061645) + (xy 130.347519 81.0685) + (xy 130.273588 81.0685) + (xy 130.271405 81.061304) + (xy 130.231755 80.987124) + (xy 130.178395 80.922105) + (xy 130.113376 80.868745) + (xy 130.039196 80.829095) + (xy 129.958707 80.804678) + (xy 129.875 80.796434) + (xy 129.736223 80.796434) + (xy 129.683033 80.743244) + (xy 129.731755 80.683876) + (xy 129.771405 80.609696) + (xy 129.795822 80.529207) + (xy 129.804066 80.4455) + (xy 129.802 80.42425) + (xy 129.69525 80.3175) + (xy 129.248 80.3175) + (xy 129.248 80.3375) + (xy 129.102 80.3375) + (xy 129.102 80.3175) + (xy 128.65475 80.3175) + (xy 128.61575 80.3565) + (xy 128.082881 80.3565) + (xy 128.057 80.353951) + (xy 128.031119 80.3565) + (xy 127.95369 80.364126) + (xy 127.85435 80.394261) + (xy 127.762798 80.443196) + (xy 127.682552 80.509052) + (xy 127.666053 80.529156) + (xy 127.132666 81.062545) + (xy 127.112552 81.079052) + (xy 127.071 81.129683) + (xy 127.071 79.9355) + (xy 128.545934 79.9355) + (xy 128.548 79.95675) + (xy 128.65475 80.0635) + (xy 129.102 80.0635) + (xy 129.102 79.61525) + (xy 129.248 79.61525) + (xy 129.248 80.0635) + (xy 129.69525 80.0635) + (xy 129.802 79.95675) + (xy 129.804066 79.9355) + (xy 129.795822 79.851793) + (xy 129.771405 79.771304) + (xy 129.731755 79.697124) + (xy 129.678395 79.632105) + (xy 129.613376 79.578745) + (xy 129.539196 79.539095) + (xy 129.458707 79.514678) + (xy 129.375 79.506434) + (xy 129.35475 79.5085) + (xy 129.248 79.61525) + (xy 129.102 79.61525) + (xy 128.99525 79.5085) + (xy 128.975 79.506434) + (xy 128.891293 79.514678) + (xy 128.810804 79.539095) + (xy 128.736624 79.578745) + (xy 128.671605 79.632105) + (xy 128.618245 79.697124) + (xy 128.578595 79.771304) + (xy 128.554178 79.851793) + (xy 128.545934 79.9355) + (xy 127.071 79.9355) + (xy 127.071 79.587609) + (xy 127.09838 79.308366) + (xy 127.173055 79.061027) + (xy 127.271967 78.875) + (xy 130.642934 78.875) + (xy 130.642934 79.875) + (xy 130.651178 79.958707) + (xy 130.675595 80.039196) + (xy 130.715245 80.113376) + (xy 130.768605 80.178395) + (xy 130.833624 80.231755) + (xy 130.907804 80.271405) + (xy 130.988293 80.295822) + (xy 131.072 80.304066) + (xy 132.072 80.304066) + (xy 132.155707 80.295822) + (xy 132.236196 80.271405) + (xy 132.310376 80.231755) + (xy 132.375395 80.178395) + (xy 132.428755 80.113376) + (xy 132.468405 80.039196) + (xy 132.492822 79.958707) + (xy 132.501066 79.875) + (xy 132.501066 78.875) + (xy 132.492822 78.791293) + (xy 132.468405 78.710804) + (xy 132.428755 78.636624) + (xy 132.375395 78.571605) + (xy 132.310376 78.518245) + (xy 132.236196 78.478595) + (xy 132.155707 78.454178) + (xy 132.072 78.445934) + (xy 131.072 78.445934) + (xy 130.988293 78.454178) + (xy 130.907804 78.478595) + (xy 130.833624 78.518245) + (xy 130.768605 78.571605) + (xy 130.715245 78.636624) + (xy 130.675595 78.710804) + (xy 130.651178 78.791293) + (xy 130.642934 78.875) + (xy 127.271967 78.875) + (xy 127.294351 78.832903) + (xy 127.457648 78.632681) + (xy 127.656721 78.467993) + (xy 127.883994 78.345107) + (xy 128.130806 78.268706) + (xy 128.408686 78.2395) + (xy 134.769869 78.2395) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 139.227858 82.048678) (xy 139.336018 82.081487) (xy 139.4485 82.092566) (xy 139.599776 82.092566) (xy 140.445053 82.937844) - (xy 140.461552 82.957948) (xy 140.541798 83.023804) (xy 140.63335 83.072739) (xy 140.707047 83.095095) (xy 140.73269 83.102874) - (xy 140.836 83.113049) (xy 140.861881 83.1105) (xy 141.025921 83.1105) (xy 140.983564 83.138802) (xy 140.945866 83.1765) - (xy 140.570254 83.1765) (xy 140.519376 83.134745) (xy 140.445196 83.095095) (xy 140.364707 83.070678) (xy 140.281 83.062434) - (xy 139.881 83.062434) (xy 139.797293 83.070678) (xy 139.716804 83.095095) (xy 139.642624 83.134745) (xy 139.577605 83.188105) - (xy 139.524245 83.253124) (xy 139.484595 83.327304) (xy 139.460178 83.407793) (xy 139.45358 83.474789) (xy 138.69997 82.721181) - (xy 138.780446 82.667409) (xy 138.881708 82.566147) (xy 138.961269 82.447075) (xy 139.016072 82.314769) (xy 139.04401 82.174314) - (xy 139.04401 82.121) (xy 139.15518 82.009831) + (xy 139.227858 82.048678) + (xy 139.336018 82.081487) + (xy 139.4485 82.092566) + (xy 139.599776 82.092566) + (xy 140.445053 82.937844) + (xy 140.461552 82.957948) + (xy 140.541798 83.023804) + (xy 140.63335 83.072739) + (xy 140.707047 83.095095) + (xy 140.73269 83.102874) + (xy 140.836 83.113049) + (xy 140.861881 83.1105) + (xy 141.025921 83.1105) + (xy 140.983564 83.138802) + (xy 140.945866 83.1765) + (xy 140.570254 83.1765) + (xy 140.519376 83.134745) + (xy 140.445196 83.095095) + (xy 140.364707 83.070678) + (xy 140.281 83.062434) + (xy 139.881 83.062434) + (xy 139.797293 83.070678) + (xy 139.716804 83.095095) + (xy 139.642624 83.134745) + (xy 139.577605 83.188105) + (xy 139.524245 83.253124) + (xy 139.484595 83.327304) + (xy 139.460178 83.407793) + (xy 139.45358 83.474789) + (xy 138.69997 82.721181) + (xy 138.780446 82.667409) + (xy 138.881708 82.566147) + (xy 138.961269 82.447075) + (xy 139.016072 82.314769) + (xy 139.04401 82.174314) + (xy 139.04401 82.121) + (xy 139.15518 82.009831) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 133.960806 81.923694) (xy 134.048177 81.995397) (xy 134.147858 82.048678) (xy 134.256018 82.081487) (xy 134.3685 82.092566) - (xy 134.6635 82.092566) (xy 134.775982 82.081487) (xy 134.884142 82.048678) (xy 134.983823 81.995397) (xy 135.001 81.9813) - (xy 135.018177 81.995397) (xy 135.117858 82.048678) (xy 135.226018 82.081487) (xy 135.3385 82.092566) (xy 135.6335 82.092566) - (xy 135.745982 82.081487) (xy 135.854142 82.048678) (xy 135.953823 81.995397) (xy 136.041194 81.923694) (xy 136.084849 81.8705) - (xy 136.187878 81.8705) (xy 136.195145 81.879355) (xy 136.280622 81.949504) (xy 136.378141 82.001629) (xy 136.483956 82.033728) - (xy 136.594 82.044566) (xy 136.964 82.044566) (xy 137.074044 82.033728) (xy 137.179859 82.001629) (xy 137.190001 81.996208) - (xy 137.190001 82.25495) (xy 137.183464 82.2765) (xy 137.175397 82.2765) (xy 137.034942 82.304438) (xy 136.902636 82.359241) - (xy 136.783564 82.438802) (xy 136.745866 82.4765) (xy 134.552881 82.4765) (xy 134.527 82.473951) (xy 134.501119 82.4765) - (xy 134.42369 82.484126) (xy 134.32435 82.514261) (xy 134.232798 82.563196) (xy 134.152552 82.629052) (xy 134.136053 82.649156) - (xy 133.532666 83.252545) (xy 133.512552 83.269052) (xy 133.446696 83.349298) (xy 133.415393 83.407864) (xy 133.414 83.41047) - (xy 133.414 82.12179) (xy 133.66529 81.8705) (xy 133.917151 81.8705) + (xy 133.960806 81.923694) + (xy 134.048177 81.995397) + (xy 134.147858 82.048678) + (xy 134.256018 82.081487) + (xy 134.3685 82.092566) + (xy 134.6635 82.092566) + (xy 134.775982 82.081487) + (xy 134.884142 82.048678) + (xy 134.983823 81.995397) + (xy 135.001 81.9813) + (xy 135.018177 81.995397) + (xy 135.117858 82.048678) + (xy 135.226018 82.081487) + (xy 135.3385 82.092566) + (xy 135.6335 82.092566) + (xy 135.745982 82.081487) + (xy 135.854142 82.048678) + (xy 135.953823 81.995397) + (xy 136.041194 81.923694) + (xy 136.084849 81.8705) + (xy 136.187878 81.8705) + (xy 136.195145 81.879355) + (xy 136.280622 81.949504) + (xy 136.378141 82.001629) + (xy 136.483956 82.033728) + (xy 136.594 82.044566) + (xy 136.964 82.044566) + (xy 137.074044 82.033728) + (xy 137.179859 82.001629) + (xy 137.190001 81.996208) + (xy 137.190001 82.25495) + (xy 137.183464 82.2765) + (xy 137.175397 82.2765) + (xy 137.034942 82.304438) + (xy 136.902636 82.359241) + (xy 136.783564 82.438802) + (xy 136.745866 82.4765) + (xy 134.552881 82.4765) + (xy 134.527 82.473951) + (xy 134.501119 82.4765) + (xy 134.42369 82.484126) + (xy 134.32435 82.514261) + (xy 134.232798 82.563196) + (xy 134.152552 82.629052) + (xy 134.136053 82.649156) + (xy 133.532666 83.252545) + (xy 133.512552 83.269052) + (xy 133.446696 83.349298) + (xy 133.415393 83.407864) + (xy 133.414 83.41047) + (xy 133.414 82.12179) + (xy 133.66529 81.8705) + (xy 133.917151 81.8705) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 149.963564 80.008198) (xy 150.082636 80.087759) (xy 150.214942 80.142562) (xy 150.355397 80.1705) (xy 150.498603 80.1705) - (xy 150.639058 80.142562) (xy 150.771364 80.087759) (xy 150.890436 80.008198) (xy 150.896133 80.002501) (xy 151.397934 80.002501) - (xy 151.397934 80.4655) (xy 151.406178 80.549207) (xy 151.430595 80.629696) (xy 151.470245 80.703876) (xy 151.506054 80.747509) - (xy 151.486806 80.763306) (xy 151.415103 80.850677) (xy 151.361822 80.950358) (xy 151.329013 81.058518) (xy 151.317934 81.171) - (xy 151.317934 81.516) (xy 151.329013 81.628482) (xy 151.361822 81.736642) (xy 151.415103 81.836323) (xy 151.486806 81.923694) - (xy 151.515001 81.946833) (xy 151.515001 81.961907) (xy 151.424844 81.999251) (xy 151.305772 82.078812) (xy 151.20451 82.180074) - (xy 151.124949 82.299146) (xy 151.072204 82.426484) (xy 151.061364 82.419241) (xy 150.929058 82.364438) (xy 150.788603 82.3365) - (xy 150.645397 82.3365) (xy 150.504942 82.364438) (xy 150.372636 82.419241) (xy 150.253564 82.498802) (xy 150.152302 82.600064) - (xy 150.072741 82.719136) (xy 150.017938 82.851442) (xy 149.99 82.991897) (xy 149.99 83.04521) (xy 149.815711 83.2195) - (xy 149.501292 83.2195) (xy 149.536062 83.135558) (xy 149.564 82.995103) (xy 149.564 82.851897) (xy 149.536062 82.711442) - (xy 149.481259 82.579136) (xy 149.427986 82.499408) (xy 149.481982 82.418597) (xy 149.536785 82.286291) (xy 149.564723 82.145836) - (xy 149.564723 82.092523) (xy 149.579339 82.077907) (xy 149.599448 82.061404) (xy 149.665304 81.981158) (xy 149.665763 81.9803) - (xy 149.723378 81.949504) (xy 149.808855 81.879355) (xy 149.879004 81.793878) (xy 149.931129 81.696359) (xy 149.963228 81.590544) - (xy 149.974066 81.4805) (xy 149.974066 81.2105) (xy 149.963228 81.100456) (xy 149.931129 80.994641) (xy 149.879004 80.897122) - (xy 149.828432 80.8355) (xy 149.879004 80.773878) (xy 149.931129 80.676359) (xy 149.963228 80.570544) (xy 149.974066 80.4605) - (xy 149.974066 80.1905) (xy 149.963228 80.080456) (xy 149.931618 79.976252) + (xy 149.963564 80.008198) + (xy 150.082636 80.087759) + (xy 150.214942 80.142562) + (xy 150.355397 80.1705) + (xy 150.498603 80.1705) + (xy 150.639058 80.142562) + (xy 150.771364 80.087759) + (xy 150.890436 80.008198) + (xy 150.896133 80.002501) + (xy 151.397934 80.002501) + (xy 151.397934 80.4655) + (xy 151.406178 80.549207) + (xy 151.430595 80.629696) + (xy 151.470245 80.703876) + (xy 151.506054 80.747509) + (xy 151.486806 80.763306) + (xy 151.415103 80.850677) + (xy 151.361822 80.950358) + (xy 151.329013 81.058518) + (xy 151.317934 81.171) + (xy 151.317934 81.516) + (xy 151.329013 81.628482) + (xy 151.361822 81.736642) + (xy 151.415103 81.836323) + (xy 151.486806 81.923694) + (xy 151.515001 81.946833) + (xy 151.515001 81.961907) + (xy 151.424844 81.999251) + (xy 151.305772 82.078812) + (xy 151.20451 82.180074) + (xy 151.124949 82.299146) + (xy 151.072204 82.426484) + (xy 151.061364 82.419241) + (xy 150.929058 82.364438) + (xy 150.788603 82.3365) + (xy 150.645397 82.3365) + (xy 150.504942 82.364438) + (xy 150.372636 82.419241) + (xy 150.253564 82.498802) + (xy 150.152302 82.600064) + (xy 150.072741 82.719136) + (xy 150.017938 82.851442) + (xy 149.99 82.991897) + (xy 149.99 83.04521) + (xy 149.815711 83.2195) + (xy 149.501292 83.2195) + (xy 149.536062 83.135558) + (xy 149.564 82.995103) + (xy 149.564 82.851897) + (xy 149.536062 82.711442) + (xy 149.481259 82.579136) + (xy 149.427986 82.499408) + (xy 149.481982 82.418597) + (xy 149.536785 82.286291) + (xy 149.564723 82.145836) + (xy 149.564723 82.092523) + (xy 149.579339 82.077907) + (xy 149.599448 82.061404) + (xy 149.665304 81.981158) + (xy 149.665763 81.9803) + (xy 149.723378 81.949504) + (xy 149.808855 81.879355) + (xy 149.879004 81.793878) + (xy 149.931129 81.696359) + (xy 149.963228 81.590544) + (xy 149.974066 81.4805) + (xy 149.974066 81.2105) + (xy 149.963228 81.100456) + (xy 149.931129 80.994641) + (xy 149.879004 80.897122) + (xy 149.828432 80.8355) + (xy 149.879004 80.773878) + (xy 149.931129 80.676359) + (xy 149.963228 80.570544) + (xy 149.974066 80.4605) + (xy 149.974066 80.1905) + (xy 149.963228 80.080456) + (xy 149.931618 79.976252) ) ) ) - (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 617F3B59) (hatch edge 0.508) + (zone (net 2) (net_name "VCC") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-0000617f3b56) (hatch edge 0.508) (connect_pads (clearance 0.3)) (min_thickness 0.254) - (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (fill yes (thermal_gap 0.3) (thermal_bridge_width 0.508)) (polygon (pts - (xy 160.909 77.7875) (xy 160.909 119.9515) (xy 126.619 119.9515) (xy 126.619 77.7875) + (xy 160.909 77.7875) + (xy 160.909 119.9515) + (xy 126.619 119.9515) + (xy 126.619 77.7875) ) ) (filled_polygon + (layer "In1.Cu") (pts - (xy 134.646661 78.317548) (xy 134.626553 78.33405) (xy 134.594036 78.373672) (xy 134.551 78.369434) (xy 134.151 78.369434) - (xy 134.067293 78.377678) (xy 133.986804 78.402095) (xy 133.912624 78.441745) (xy 133.847605 78.495105) (xy 133.794245 78.560124) - (xy 133.781948 78.58313) (xy 133.671364 78.509241) (xy 133.539058 78.454438) (xy 133.398603 78.4265) (xy 133.255397 78.4265) - (xy 133.114942 78.454438) (xy 132.982636 78.509241) (xy 132.863564 78.588802) (xy 132.762302 78.690064) (xy 132.682741 78.809136) - (xy 132.627938 78.941442) (xy 132.6 79.081897) (xy 132.6 79.225103) (xy 132.627938 79.365558) (xy 132.682741 79.497864) - (xy 132.762302 79.616936) (xy 132.863564 79.718198) (xy 132.982636 79.797759) (xy 133.114942 79.852562) (xy 133.255397 79.8805) - (xy 133.398603 79.8805) (xy 133.539058 79.852562) (xy 133.671364 79.797759) (xy 133.790436 79.718198) (xy 133.806647 79.701987) - (xy 133.847605 79.751895) (xy 133.912624 79.805255) (xy 133.986804 79.844905) (xy 134.067293 79.869322) (xy 134.151 79.877566) - (xy 134.474 79.877566) (xy 134.474 80.17225) (xy 134.424 80.22225) (xy 134.424 80.498) (xy 134.404595 80.534304) - (xy 134.380178 80.614793) (xy 134.371934 80.6985) (xy 134.371934 81.1705) (xy 134.278 81.1705) (xy 134.278 81.1505) - (xy 133.67475 81.1505) (xy 133.516 81.30925) (xy 133.513123 81.332739) (xy 133.522305 81.457486) (xy 133.555647 81.578044) - (xy 133.611868 81.689781) (xy 133.657031 81.747671) (xy 133.628527 81.802621) (xy 133.593699 81.922758) (xy 133.582977 82.047381) - (xy 133.586 82.07375) (xy 133.74475 82.2325) (xy 134.364 82.2325) (xy 134.364 82.2125) (xy 134.618 82.2125) - (xy 134.618 82.2325) (xy 134.638 82.2325) (xy 134.638 82.4865) (xy 134.618 82.4865) (xy 134.618 83.15575) - (xy 134.77675 83.3145) (xy 134.893202 83.303726) (xy 135.012442 83.265941) (xy 135.12202 83.205618) (xy 135.217724 83.125078) - (xy 135.244756 83.091297) (xy 135.265956 83.097728) (xy 135.376 83.108566) (xy 135.646 83.108566) (xy 135.756044 83.097728) - (xy 135.861859 83.065629) (xy 135.959378 83.013504) (xy 136.044855 82.943355) (xy 136.054258 82.931897) (xy 136.52 82.931897) - (xy 136.52 83.075103) (xy 136.547938 83.215558) (xy 136.602741 83.347864) (xy 136.682302 83.466936) (xy 136.783564 83.568198) - (xy 136.902636 83.647759) (xy 137.034942 83.702562) (xy 137.175397 83.7305) (xy 137.318603 83.7305) (xy 137.459058 83.702562) - (xy 137.591364 83.647759) (xy 137.710436 83.568198) (xy 137.811698 83.466936) (xy 137.833959 83.433619) (xy 138.662977 83.433619) - (xy 138.673699 83.558242) (xy 138.708527 83.678379) (xy 138.766124 83.789414) (xy 138.844276 83.887078) (xy 138.93998 83.967618) - (xy 139.049558 84.027941) (xy 139.168798 84.065726) (xy 139.28525 84.0765) (xy 139.444 83.91775) (xy 139.444 83.2485) - (xy 138.82475 83.2485) (xy 138.666 83.40725) (xy 138.662977 83.433619) (xy 137.833959 83.433619) (xy 137.891259 83.347864) - (xy 137.946062 83.215558) (xy 137.974 83.075103) (xy 137.974 83.021789) (xy 138.17924 82.81655) (xy 138.245407 82.829711) - (xy 138.388613 82.829711) (xy 138.529068 82.801773) (xy 138.661374 82.74697) (xy 138.668772 82.742027) (xy 138.662977 82.809381) - (xy 138.666 82.83575) (xy 138.82475 82.9945) (xy 139.444 82.9945) (xy 139.444 82.32525) (xy 139.28525 82.1665) - (xy 139.168798 82.177274) (xy 139.049558 82.215059) (xy 139.034227 82.223499) (xy 139.04401 82.174314) (xy 139.04401 82.031108) - (xy 139.019726 81.909024) (xy 139.104 81.82475) (xy 139.104 81.1505) (xy 138.50075 81.1505) (xy 138.342 81.30925) - (xy 138.339123 81.332739) (xy 138.342286 81.375711) (xy 138.245407 81.375711) (xy 138.104952 81.403649) (xy 137.972646 81.458452) - (xy 137.853574 81.538013) (xy 137.752312 81.639275) (xy 137.672751 81.758347) (xy 137.620346 81.884865) (xy 137.228711 82.2765) - (xy 137.175397 82.2765) (xy 137.034942 82.304438) (xy 136.902636 82.359241) (xy 136.783564 82.438802) (xy 136.682302 82.540064) - (xy 136.602741 82.659136) (xy 136.547938 82.791442) (xy 136.52 82.931897) (xy 136.054258 82.931897) (xy 136.115004 82.857878) - (xy 136.167129 82.760359) (xy 136.199228 82.654544) (xy 136.210066 82.5445) (xy 136.210066 82.1745) (xy 136.199228 82.064456) - (xy 136.178 81.994477) (xy 136.178 81.623132) (xy 136.207755 81.586876) (xy 136.247405 81.512696) (xy 136.271822 81.432207) - (xy 136.280066 81.3485) (xy 136.280066 80.6985) (xy 136.271822 80.614793) (xy 136.252055 80.549631) (xy 136.949967 79.851719) - (xy 137.00328 79.851719) (xy 137.143735 79.823781) (xy 137.155356 79.818967) (xy 137.239253 79.902864) (xy 137.358325 79.982425) - (xy 137.490631 80.037228) (xy 137.631086 80.065166) (xy 137.774292 80.065166) (xy 137.881379 80.043865) (xy 137.932741 80.167864) - (xy 138.012302 80.286936) (xy 138.113564 80.388198) (xy 138.232636 80.467759) (xy 138.364942 80.522562) (xy 138.366724 80.522916) - (xy 138.348305 80.589514) (xy 138.339123 80.714261) (xy 138.342 80.73775) (xy 138.50075 80.8965) (xy 139.104 80.8965) - (xy 139.104 80.8765) (xy 139.197934 80.8765) (xy 139.197934 81.3485) (xy 139.206178 81.432207) (xy 139.230595 81.512696) - (xy 139.25 81.549) (xy 139.25 81.82475) (xy 139.40875 81.9835) (xy 139.516902 81.971046) (xy 139.635666 81.93179) - (xy 139.74449 81.870119) (xy 139.839192 81.788403) (xy 139.847646 81.777566) (xy 140.027 81.777566) (xy 140.064001 81.773922) - (xy 140.064 82.206046) (xy 139.973202 82.177274) (xy 139.85675 82.1665) (xy 139.698 82.32525) (xy 139.698 82.9945) - (xy 139.718 82.9945) (xy 139.718 83.2485) (xy 139.698 83.2485) (xy 139.698 83.91775) (xy 139.85675 84.0765) - (xy 139.973202 84.065726) (xy 140.092442 84.027941) (xy 140.20202 83.967618) (xy 140.297724 83.887078) (xy 140.324756 83.853297) - (xy 140.345956 83.859728) (xy 140.456 83.870566) (xy 140.726 83.870566) (xy 140.738739 83.869311) (xy 140.747938 83.915558) - (xy 140.802741 84.047864) (xy 140.882302 84.166936) (xy 140.983564 84.268198) (xy 141.102636 84.347759) (xy 141.234942 84.402562) - (xy 141.375397 84.4305) (xy 141.518603 84.4305) (xy 141.659058 84.402562) (xy 141.791364 84.347759) (xy 141.910436 84.268198) - (xy 142.011698 84.166936) (xy 142.091259 84.047864) (xy 142.146062 83.915558) (xy 142.174 83.775103) (xy 142.174 83.631897) - (xy 142.146062 83.491442) (xy 142.091259 83.359136) (xy 142.011698 83.240064) (xy 141.910436 83.138802) (xy 141.791364 83.059241) - (xy 141.659058 83.004438) (xy 141.518603 82.9765) (xy 141.465289 82.9765) (xy 141.263545 82.774756) (xy 141.247129 82.720641) - (xy 141.195004 82.623122) (xy 141.124855 82.537645) (xy 141.118 82.532019) (xy 141.118 81.163377) (xy 141.120549 81.137499) - (xy 141.117859 81.110191) (xy 141.110374 81.03419) (xy 141.106066 81.019989) (xy 141.106066 80.6985) (xy 141.097822 80.614793) - (xy 141.073405 80.534304) (xy 141.033755 80.460124) (xy 140.980395 80.395105) (xy 140.915376 80.341745) (xy 140.841196 80.302095) - (xy 140.760707 80.277678) (xy 140.677 80.269434) (xy 140.354 80.269434) (xy 140.354 79.877566) (xy 140.677 79.877566) - (xy 140.760707 79.869322) (xy 140.841196 79.844905) (xy 140.915376 79.805255) (xy 140.980395 79.751895) (xy 141.033755 79.686876) - (xy 141.053198 79.6505) (xy 141.160965 79.6505) (xy 141.167938 79.685558) (xy 141.222741 79.817864) (xy 141.302302 79.936936) - (xy 141.403564 80.038198) (xy 141.522636 80.117759) (xy 141.654942 80.172562) (xy 141.795397 80.2005) (xy 141.938603 80.2005) - (xy 142.079058 80.172562) (xy 142.211364 80.117759) (xy 142.330436 80.038198) (xy 142.431698 79.936936) (xy 142.511259 79.817864) - (xy 142.566062 79.685558) (xy 142.594 79.545103) (xy 142.594 79.401897) (xy 142.566062 79.261442) (xy 142.511259 79.129136) - (xy 142.442011 79.025499) (xy 142.856001 79.025499) (xy 142.856 80.931207) (xy 142.85266 80.934547) (xy 142.832551 80.95105) - (xy 142.787646 81.005767) (xy 142.766694 81.031297) (xy 142.717759 81.122849) (xy 142.687624 81.222189) (xy 142.677449 81.325498) - (xy 142.679998 81.351379) (xy 142.679998 81.965621) (xy 142.677449 81.991502) (xy 142.679998 82.017382) (xy 142.687624 82.094811) - (xy 142.717759 82.194151) (xy 142.766694 82.285704) (xy 142.83255 82.36595) (xy 142.852664 82.382457) (xy 142.856001 82.385794) - (xy 142.856 87.62821) (xy 141.946955 86.719166) (xy 141.930448 86.699052) (xy 141.850202 86.633196) (xy 141.75865 86.584261) - (xy 141.65931 86.554126) (xy 141.581881 86.5465) (xy 141.556 86.543951) (xy 141.530119 86.5465) (xy 133.464337 86.5465) - (xy 133.438456 86.543951) (xy 133.335147 86.554126) (xy 133.235807 86.584261) (xy 133.144255 86.633196) (xy 133.064009 86.699052) - (xy 133.047506 86.719161) (xy 133.046823 86.719844) (xy 132.99351 86.719844) (xy 132.853055 86.747782) (xy 132.720749 86.802585) - (xy 132.601677 86.882146) (xy 132.500415 86.983408) (xy 132.420854 87.10248) (xy 132.366051 87.234786) (xy 132.338113 87.375241) - (xy 132.338113 87.518447) (xy 132.366051 87.658902) (xy 132.420854 87.791208) (xy 132.500415 87.91028) (xy 132.601677 88.011542) - (xy 132.720749 88.091103) (xy 132.853055 88.145906) (xy 132.99351 88.173844) (xy 133.136716 88.173844) (xy 133.277171 88.145906) - (xy 133.409477 88.091103) (xy 133.528549 88.011542) (xy 133.629811 87.91028) (xy 133.709372 87.791208) (xy 133.764175 87.658902) - (xy 133.775792 87.6005) (xy 138.640169 87.6005) (xy 138.62 87.701897) (xy 138.62 87.845103) (xy 138.647938 87.985558) - (xy 138.702741 88.117864) (xy 138.705041 88.121306) (xy 138.673605 88.147105) (xy 138.620245 88.212124) (xy 138.580595 88.286304) - (xy 138.556178 88.366793) (xy 138.547934 88.4505) (xy 138.547934 89.1005) (xy 138.556178 89.184207) (xy 138.580595 89.264696) - (xy 138.620245 89.338876) (xy 138.673605 89.403895) (xy 138.738624 89.457255) (xy 138.812804 89.496905) (xy 138.893293 89.521322) - (xy 138.977 89.529566) (xy 139.377 89.529566) (xy 139.460707 89.521322) (xy 139.541196 89.496905) (xy 139.615376 89.457255) - (xy 139.680395 89.403895) (xy 139.733755 89.338876) (xy 139.773405 89.264696) (xy 139.797822 89.184207) (xy 139.806066 89.1005) - (xy 139.806066 88.8646) (xy 139.836239 88.80815) (xy 139.847934 88.769597) (xy 139.847934 89.1005) (xy 139.856178 89.184207) - (xy 139.880595 89.264696) (xy 139.910871 89.321339) (xy 139.505563 89.726648) (xy 139.40875 89.7155) (xy 139.25 89.87425) - (xy 139.25 90.15) (xy 139.230595 90.186304) (xy 139.206178 90.266793) (xy 139.197934 90.3505) (xy 139.197934 90.8225) - (xy 139.104 90.8225) (xy 139.104 90.8025) (xy 138.50075 90.8025) (xy 138.342 90.96125) (xy 138.339123 90.984739) - (xy 138.348305 91.109486) (xy 138.381647 91.230044) (xy 138.437868 91.341781) (xy 138.514808 91.440403) (xy 138.60951 91.522119) - (xy 138.718334 91.58379) (xy 138.726533 91.5865) (xy 138.134876 91.5865) (xy 138.108995 91.583951) (xy 138.02517 91.592207) - (xy 138.005686 91.594126) (xy 137.906346 91.624261) (xy 137.814794 91.673196) (xy 137.734548 91.739052) (xy 137.718045 91.759161) - (xy 136.68266 92.794547) (xy 136.662551 92.81105) (xy 136.605059 92.881104) (xy 136.596694 92.891297) (xy 136.547759 92.982849) - (xy 136.517624 93.082189) (xy 136.507449 93.185498) (xy 136.509998 93.211379) (xy 136.509998 93.467405) (xy 136.402703 93.488747) - (xy 136.270397 93.54355) (xy 136.151325 93.623111) (xy 136.050063 93.724373) (xy 135.970502 93.843445) (xy 135.915699 93.975751) - (xy 135.887761 94.116206) (xy 135.887761 94.259412) (xy 135.915699 94.399867) (xy 135.970502 94.532173) (xy 136.050063 94.651245) - (xy 136.151325 94.752507) (xy 136.270397 94.832068) (xy 136.402703 94.886871) (xy 136.543158 94.914809) (xy 136.686364 94.914809) - (xy 136.826819 94.886871) (xy 136.959125 94.832068) (xy 137.014001 94.795401) (xy 137.014 97.673434) (xy 136.691 97.673434) - (xy 136.607293 97.681678) (xy 136.526804 97.706095) (xy 136.507338 97.7165) (xy 136.448134 97.7165) (xy 136.410436 97.678802) - (xy 136.291364 97.599241) (xy 136.159058 97.544438) (xy 136.018603 97.5165) (xy 135.875397 97.5165) (xy 135.734942 97.544438) - (xy 135.602636 97.599241) (xy 135.483564 97.678802) (xy 135.382302 97.780064) (xy 135.302741 97.899136) (xy 135.247938 98.031442) - (xy 135.22 98.171897) (xy 135.22 98.315103) (xy 135.247938 98.455558) (xy 135.302741 98.587864) (xy 135.382302 98.706936) - (xy 135.483564 98.808198) (xy 135.602636 98.887759) (xy 135.734942 98.942562) (xy 135.875397 98.9705) (xy 136.018603 98.9705) - (xy 136.159058 98.942562) (xy 136.286436 98.8898) (xy 136.294595 98.916696) (xy 136.334245 98.990876) (xy 136.387605 99.055895) - (xy 136.452624 99.109255) (xy 136.526804 99.148905) (xy 136.607293 99.173322) (xy 136.691 99.181566) (xy 137.091 99.181566) - (xy 137.126001 99.178119) (xy 137.126001 99.576881) (xy 137.091 99.573434) (xy 136.803039 99.573434) (xy 136.801259 99.569136) - (xy 136.721698 99.450064) (xy 136.620436 99.348802) (xy 136.501364 99.269241) (xy 136.369058 99.214438) (xy 136.228603 99.1865) - (xy 136.085397 99.1865) (xy 135.944942 99.214438) (xy 135.812636 99.269241) (xy 135.693564 99.348802) (xy 135.592302 99.450064) - (xy 135.512741 99.569136) (xy 135.457938 99.701442) (xy 135.43 99.841897) (xy 135.43 99.985103) (xy 135.457938 100.125558) - (xy 135.512741 100.257864) (xy 135.592302 100.376936) (xy 135.693564 100.478198) (xy 135.812636 100.557759) (xy 135.944942 100.612562) - (xy 136.085397 100.6405) (xy 136.13871 100.6405) (xy 136.180049 100.681839) (xy 136.196552 100.701948) (xy 136.276798 100.767804) - (xy 136.280337 100.769696) (xy 136.294595 100.816696) (xy 136.334245 100.890876) (xy 136.387605 100.955895) (xy 136.417586 100.9805) - (xy 134.174291 100.9805) (xy 133.75 100.556211) (xy 133.75 97.117381) (xy 133.752549 97.0915) (xy 133.742374 96.98819) - (xy 133.73998 96.980299) (xy 133.712239 96.88885) (xy 133.663304 96.797298) (xy 133.597448 96.717052) (xy 133.577339 96.700549) - (xy 132.386664 95.509874) (xy 132.418926 95.431987) (xy 132.463074 95.210038) (xy 132.465397 95.2105) (xy 132.608603 95.2105) - (xy 132.749058 95.182562) (xy 132.881364 95.127759) (xy 133.000436 95.048198) (xy 133.038134 95.0105) (xy 133.311119 95.0105) - (xy 133.337 95.013049) (xy 133.362881 95.0105) (xy 133.44031 95.002874) (xy 133.53965 94.972739) (xy 133.631202 94.923804) - (xy 133.711448 94.857948) (xy 133.727955 94.837834) (xy 134.08529 94.4805) (xy 134.138603 94.4805) (xy 134.279058 94.452562) - (xy 134.411364 94.397759) (xy 134.530436 94.318198) (xy 134.631698 94.216936) (xy 134.711259 94.097864) (xy 134.766062 93.965558) - (xy 134.794 93.825103) (xy 134.794 93.681897) (xy 134.766062 93.541442) (xy 134.711259 93.409136) (xy 134.631698 93.290064) - (xy 134.530436 93.188802) (xy 134.411364 93.109241) (xy 134.279058 93.054438) (xy 134.138603 93.0265) (xy 133.995397 93.0265) - (xy 133.854942 93.054438) (xy 133.722636 93.109241) (xy 133.603564 93.188802) (xy 133.502302 93.290064) (xy 133.422741 93.409136) - (xy 133.367938 93.541442) (xy 133.34 93.681897) (xy 133.34 93.73521) (xy 133.118711 93.9565) (xy 133.038134 93.9565) - (xy 133.000436 93.918802) (xy 132.881364 93.839241) (xy 132.749058 93.784438) (xy 132.608603 93.7565) (xy 132.465397 93.7565) - (xy 132.324942 93.784438) (xy 132.192636 93.839241) (xy 132.073564 93.918802) (xy 131.972302 94.020064) (xy 131.960453 94.037797) - (xy 131.795886 93.927837) (xy 131.563487 93.831574) (xy 131.316774 93.7825) (xy 131.255063 93.7825) (xy 131.314489 93.639034) - (xy 131.346271 93.479259) (xy 131.346271 93.316355) (xy 131.314489 93.15658) (xy 131.252148 93.006076) (xy 131.161643 92.870626) - (xy 131.046452 92.755435) (xy 130.911002 92.66493) (xy 130.760498 92.602589) (xy 130.600723 92.570807) (xy 130.59 92.570807) - (xy 130.59 92.225132) (xy 130.619755 92.188876) (xy 130.659405 92.114696) (xy 130.683822 92.034207) (xy 130.692066 91.9505) - (xy 130.692066 91.3005) (xy 130.683822 91.216793) (xy 130.659405 91.136304) (xy 130.619755 91.062124) (xy 130.566395 90.997105) - (xy 130.501376 90.943745) (xy 130.427196 90.904095) (xy 130.346707 90.879678) (xy 130.263 90.871434) (xy 129.863 90.871434) - (xy 129.779293 90.879678) (xy 129.738 90.892205) (xy 129.696707 90.879678) (xy 129.613 90.871434) (xy 129.433646 90.871434) - (xy 129.425192 90.860597) (xy 129.33049 90.778881) (xy 129.221666 90.71721) (xy 129.102902 90.677954) (xy 128.99475 90.6655) - (xy 128.836 90.82425) (xy 128.836 91.1) (xy 128.816595 91.136304) (xy 128.792178 91.216793) (xy 128.783934 91.3005) - (xy 128.783934 91.9505) (xy 128.792178 92.034207) (xy 128.816595 92.114696) (xy 128.836 92.151) (xy 128.836 92.42675) - (xy 128.886001 92.476751) (xy 128.886001 93.25561) (xy 128.883451 93.2815) (xy 128.893626 93.384809) (xy 128.923761 93.484149) - (xy 128.972696 93.575701) (xy 128.972697 93.575702) (xy 129.038553 93.655948) (xy 129.058662 93.672451) (xy 129.995336 94.609126) - (xy 129.963074 94.687013) (xy 129.914 94.933726) (xy 129.914 95.185274) (xy 129.963074 95.431987) (xy 130.059337 95.664386) - (xy 130.199089 95.87354) (xy 130.37696 96.051411) (xy 130.586114 96.191163) (xy 130.818513 96.287426) (xy 131.030034 96.3295) - (xy 130.818513 96.371574) (xy 130.586114 96.467837) (xy 130.37696 96.607589) (xy 130.199089 96.78546) (xy 130.059337 96.994614) - (xy 129.963074 97.227013) (xy 129.914 97.473726) (xy 129.914 97.725274) (xy 129.963074 97.971987) (xy 129.995336 98.049874) - (xy 129.312666 98.732545) (xy 129.292552 98.749052) (xy 129.226696 98.829298) (xy 129.202536 98.8745) (xy 129.177761 98.920851) - (xy 129.147626 99.020191) (xy 129.137451 99.1235) (xy 129.14 99.149381) (xy 129.140001 104.685609) (xy 129.137451 104.7115) - (xy 129.147626 104.814809) (xy 129.177761 104.914149) (xy 129.226696 105.005701) (xy 129.226697 105.005702) (xy 129.292553 105.085948) - (xy 129.312662 105.102451) (xy 129.784049 105.573839) (xy 129.800552 105.593948) (xy 129.880798 105.659804) (xy 129.97235 105.708739) - (xy 130.07169 105.738874) (xy 130.149119 105.7465) (xy 130.149121 105.7465) (xy 130.174999 105.749049) (xy 130.200877 105.7465) - (xy 132.496711 105.7465) (xy 133.911835 107.161625) (xy 133.825086 107.206624) (xy 133.727422 107.284776) (xy 133.646882 107.38048) - (xy 133.586559 107.490058) (xy 133.548774 107.609298) (xy 133.538 107.72575) (xy 133.69675 107.8845) (xy 134.366 107.8845) - (xy 134.366 107.8645) (xy 134.62 107.8645) (xy 134.62 107.8845) (xy 134.64 107.8845) (xy 134.64 108.1385) - (xy 134.62 108.1385) (xy 134.62 108.1585) (xy 134.366 108.1585) (xy 134.366 108.1385) (xy 133.69675 108.1385) - (xy 133.538 108.29725) (xy 133.548774 108.413702) (xy 133.586559 108.532942) (xy 133.646882 108.64252) (xy 133.727422 108.738224) - (xy 133.761203 108.765256) (xy 133.754772 108.786456) (xy 133.743934 108.8965) (xy 133.743934 109.1665) (xy 133.754772 109.276544) - (xy 133.786871 109.382359) (xy 133.838996 109.479878) (xy 133.909145 109.565355) (xy 133.994622 109.635504) (xy 134.092141 109.687629) - (xy 134.197956 109.719728) (xy 134.308 109.730566) (xy 134.678 109.730566) (xy 134.788044 109.719728) (xy 134.893859 109.687629) - (xy 134.991378 109.635504) (xy 135.056698 109.581897) (xy 135.44 109.581897) (xy 135.44 109.725103) (xy 135.467938 109.865558) - (xy 135.522741 109.997864) (xy 135.602302 110.116936) (xy 135.703564 110.218198) (xy 135.822636 110.297759) (xy 135.954942 110.352562) - (xy 136.095397 110.3805) (xy 136.182743 110.3805) (xy 136.22435 110.402739) (xy 136.32369 110.432874) (xy 136.427 110.443049) - (xy 136.428106 110.44294) (xy 136.446245 110.476876) (xy 136.499605 110.541895) (xy 136.564624 110.595255) (xy 136.638804 110.634905) - (xy 136.719293 110.659322) (xy 136.803 110.667566) (xy 137.203 110.667566) (xy 137.286707 110.659322) (xy 137.367196 110.634905) - (xy 137.441376 110.595255) (xy 137.506395 110.541895) (xy 137.559755 110.476876) (xy 137.599405 110.402696) (xy 137.623822 110.322207) - (xy 137.632066 110.2385) (xy 137.632066 109.5885) (xy 137.673934 109.5885) (xy 137.673934 110.2385) (xy 137.682178 110.322207) - (xy 137.706595 110.402696) (xy 137.746245 110.476876) (xy 137.799605 110.541895) (xy 137.864624 110.595255) (xy 137.938804 110.634905) - (xy 138.019293 110.659322) (xy 138.103 110.667566) (xy 138.503 110.667566) (xy 138.586707 110.659322) (xy 138.667196 110.634905) - (xy 138.741376 110.595255) (xy 138.806395 110.541895) (xy 138.823954 110.5205) (xy 138.975866 110.5205) (xy 139.013564 110.558198) - (xy 139.132636 110.637759) (xy 139.264942 110.692562) (xy 139.405397 110.7205) (xy 139.548603 110.7205) (xy 139.689058 110.692562) - (xy 139.821364 110.637759) (xy 139.940436 110.558198) (xy 140.041698 110.456936) (xy 140.121259 110.337864) (xy 140.176062 110.205558) - (xy 140.204 110.065103) (xy 140.204 109.921897) (xy 140.176062 109.781442) (xy 140.121259 109.649136) (xy 140.041698 109.530064) - (xy 139.940436 109.428802) (xy 139.821364 109.349241) (xy 139.689058 109.294438) (xy 139.548603 109.2665) (xy 139.405397 109.2665) - (xy 139.264942 109.294438) (xy 139.132636 109.349241) (xy 139.013564 109.428802) (xy 138.975866 109.4665) (xy 138.912206 109.4665) - (xy 138.899405 109.424304) (xy 138.859755 109.350124) (xy 138.806395 109.285105) (xy 138.741376 109.231745) (xy 138.667196 109.192095) - (xy 138.586707 109.167678) (xy 138.503 109.159434) (xy 138.103 109.159434) (xy 138.019293 109.167678) (xy 137.938804 109.192095) - (xy 137.864624 109.231745) (xy 137.799605 109.285105) (xy 137.746245 109.350124) (xy 137.706595 109.424304) (xy 137.682178 109.504793) - (xy 137.673934 109.5885) (xy 137.632066 109.5885) (xy 137.623822 109.504793) (xy 137.599405 109.424304) (xy 137.559755 109.350124) - (xy 137.506395 109.285105) (xy 137.441376 109.231745) (xy 137.367196 109.192095) (xy 137.286707 109.167678) (xy 137.203 109.159434) - (xy 136.803 109.159434) (xy 136.719293 109.167678) (xy 136.711635 109.170001) (xy 136.630436 109.088802) (xy 136.511364 109.009241) - (xy 136.379058 108.954438) (xy 136.238603 108.9265) (xy 136.095397 108.9265) (xy 135.954942 108.954438) (xy 135.822636 109.009241) - (xy 135.703564 109.088802) (xy 135.602302 109.190064) (xy 135.522741 109.309136) (xy 135.467938 109.441442) (xy 135.44 109.581897) - (xy 135.056698 109.581897) (xy 135.076855 109.565355) (xy 135.147004 109.479878) (xy 135.199129 109.382359) (xy 135.231228 109.276544) - (xy 135.242066 109.1665) (xy 135.242066 109.027723) (xy 135.594634 108.675155) (xy 135.684942 108.712562) (xy 135.825397 108.7405) - (xy 135.968603 108.7405) (xy 136.109058 108.712562) (xy 136.241364 108.657759) (xy 136.360436 108.578198) (xy 136.398134 108.5405) - (xy 136.426802 108.5405) (xy 136.446245 108.576876) (xy 136.499605 108.641895) (xy 136.564624 108.695255) (xy 136.638804 108.734905) - (xy 136.719293 108.759322) (xy 136.803 108.767566) (xy 137.203 108.767566) (xy 137.286707 108.759322) (xy 137.328 108.746795) - (xy 137.369293 108.759322) (xy 137.453 108.767566) (xy 137.632354 108.767566) (xy 137.640808 108.778403) (xy 137.73551 108.860119) - (xy 137.844334 108.92179) (xy 137.963098 108.961046) (xy 138.07125 108.9735) (xy 138.23 108.81475) (xy 138.23 108.539) - (xy 138.249405 108.502696) (xy 138.273822 108.422207) (xy 138.282066 108.3385) (xy 138.282066 108.1405) (xy 138.376 108.1405) - (xy 138.376 108.81475) (xy 138.53475 108.9735) (xy 138.642902 108.961046) (xy 138.761666 108.92179) (xy 138.87049 108.860119) - (xy 138.965192 108.778403) (xy 139.042132 108.679781) (xy 139.098353 108.568044) (xy 139.131695 108.447486) (xy 139.140877 108.322739) - (xy 139.138 108.29925) (xy 138.97925 108.1405) (xy 138.376 108.1405) (xy 138.282066 108.1405) (xy 138.282066 107.6885) - (xy 138.273822 107.604793) (xy 138.249405 107.524304) (xy 138.23 107.488) (xy 138.23 107.21225) (xy 138.376 107.21225) - (xy 138.376 107.8865) (xy 138.97925 107.8865) (xy 139.138 107.72775) (xy 139.140877 107.704261) (xy 139.131695 107.579514) - (xy 139.098353 107.458956) (xy 139.042132 107.347219) (xy 138.965192 107.248597) (xy 138.87049 107.166881) (xy 138.761666 107.10521) - (xy 138.642902 107.065954) (xy 138.53475 107.0535) (xy 138.376 107.21225) (xy 138.23 107.21225) (xy 138.18 107.16225) - (xy 138.18 105.208739) (xy 148.499123 105.208739) (xy 148.508305 105.333486) (xy 148.541647 105.454044) (xy 148.597868 105.565781) - (xy 148.674808 105.664403) (xy 148.76951 105.746119) (xy 148.878334 105.80779) (xy 148.997098 105.847046) (xy 149.10525 105.8595) - (xy 149.264 105.70075) (xy 149.264 105.0265) (xy 148.66075 105.0265) (xy 148.502 105.18525) (xy 148.499123 105.208739) - (xy 138.18 105.208739) (xy 138.18 102.631897) (xy 142.06 102.631897) (xy 142.06 102.775103) (xy 142.087938 102.915558) - (xy 142.142741 103.047864) (xy 142.222302 103.166936) (xy 142.323564 103.268198) (xy 142.442636 103.347759) (xy 142.574942 103.402562) - (xy 142.715397 103.4305) (xy 142.858603 103.4305) (xy 142.999058 103.402562) (xy 143.131364 103.347759) (xy 143.250436 103.268198) - (xy 143.351698 103.166936) (xy 143.431259 103.047864) (xy 143.486062 102.915558) (xy 143.514 102.775103) (xy 143.514 102.631897) - (xy 143.495188 102.537321) (xy 143.496549 102.523501) (xy 143.494 102.497623) (xy 143.494 102.210193) (xy 143.542741 102.327864) - (xy 143.620001 102.443492) (xy 143.62 103.672366) (xy 143.582302 103.710064) (xy 143.502741 103.829136) (xy 143.447938 103.961442) - (xy 143.42 104.101897) (xy 143.42 104.245103) (xy 143.447938 104.385558) (xy 143.502741 104.517864) (xy 143.582302 104.636936) - (xy 143.683564 104.738198) (xy 143.802636 104.817759) (xy 143.934942 104.872562) (xy 144.075397 104.9005) (xy 144.218603 104.9005) - (xy 144.359058 104.872562) (xy 144.491364 104.817759) (xy 144.610436 104.738198) (xy 144.711698 104.636936) (xy 144.742885 104.590261) - (xy 148.499123 104.590261) (xy 148.502 104.61375) (xy 148.66075 104.7725) (xy 149.264 104.7725) (xy 149.264 104.09825) - (xy 149.10525 103.9395) (xy 148.997098 103.951954) (xy 148.878334 103.99121) (xy 148.76951 104.052881) (xy 148.674808 104.134597) - (xy 148.597868 104.233219) (xy 148.541647 104.344956) (xy 148.508305 104.465514) (xy 148.499123 104.590261) (xy 144.742885 104.590261) - (xy 144.791259 104.517864) (xy 144.846062 104.385558) (xy 144.874 104.245103) (xy 144.874 104.101897) (xy 144.846062 103.961442) - (xy 144.791259 103.829136) (xy 144.711698 103.710064) (xy 144.674 103.672366) (xy 144.674 102.524634) (xy 144.751698 102.446936) - (xy 144.831259 102.327864) (xy 144.886062 102.195558) (xy 144.914 102.055103) (xy 144.914 101.911897) (xy 144.886062 101.771442) - (xy 144.831259 101.639136) (xy 144.751698 101.520064) (xy 144.650436 101.418802) (xy 144.531364 101.339241) (xy 144.399058 101.284438) - (xy 144.258603 101.2565) (xy 144.115397 101.2565) (xy 143.974942 101.284438) (xy 143.842636 101.339241) (xy 143.723564 101.418802) - (xy 143.694 101.448366) (xy 143.694 101.381897) (xy 143.666062 101.241442) (xy 143.611259 101.109136) (xy 143.531698 100.990064) - (xy 143.430436 100.888802) (xy 143.311364 100.809241) (xy 143.179058 100.754438) (xy 143.038603 100.7265) (xy 142.895397 100.7265) - (xy 142.754942 100.754438) (xy 142.622636 100.809241) (xy 142.503564 100.888802) (xy 142.402302 100.990064) (xy 142.322741 101.109136) - (xy 142.267938 101.241442) (xy 142.24 101.381897) (xy 142.24 101.525103) (xy 142.267938 101.665558) (xy 142.322741 101.797864) - (xy 142.402302 101.916936) (xy 142.44 101.954634) (xy 142.440001 102.061002) (xy 142.323564 102.138802) (xy 142.222302 102.240064) - (xy 142.142741 102.359136) (xy 142.087938 102.491442) (xy 142.06 102.631897) (xy 138.18 102.631897) (xy 138.18 101.53338) - (xy 138.182549 101.5075) (xy 138.18 101.481619) (xy 138.18 101.081566) (xy 138.391 101.081566) (xy 138.474707 101.073322) - (xy 138.510634 101.062423) (xy 139 101.55179) (xy 139 101.605103) (xy 139.027938 101.745558) (xy 139.082741 101.877864) - (xy 139.162302 101.996936) (xy 139.263564 102.098198) (xy 139.382636 102.177759) (xy 139.514942 102.232562) (xy 139.655397 102.2605) - (xy 139.798603 102.2605) (xy 139.939058 102.232562) (xy 140.071364 102.177759) (xy 140.190436 102.098198) (xy 140.291698 101.996936) - (xy 140.371259 101.877864) (xy 140.426062 101.745558) (xy 140.454 101.605103) (xy 140.454 101.461897) (xy 140.426062 101.321442) - (xy 140.371259 101.189136) (xy 140.291698 101.070064) (xy 140.190436 100.968802) (xy 140.071364 100.889241) (xy 139.939058 100.834438) - (xy 139.798603 100.8065) (xy 139.74529 100.8065) (xy 139.63627 100.69748) (xy 139.7 100.63375) (xy 139.7 100.0145) - (xy 139.954 100.0145) (xy 139.954 100.63375) (xy 140.11275 100.7925) (xy 140.139119 100.795523) (xy 140.263742 100.784801) - (xy 140.383879 100.749973) (xy 140.494914 100.692376) (xy 140.592578 100.614224) (xy 140.673118 100.51852) (xy 140.733441 100.408942) - (xy 140.771226 100.289702) (xy 140.782 100.17325) (xy 140.62325 100.0145) (xy 139.954 100.0145) (xy 139.7 100.0145) - (xy 139.03075 100.0145) (xy 138.99202 100.05323) (xy 138.911955 99.973166) (xy 138.895448 99.953052) (xy 138.815202 99.887196) - (xy 138.799728 99.878925) (xy 138.787405 99.838304) (xy 138.747755 99.764124) (xy 138.694395 99.699105) (xy 138.629376 99.645745) - (xy 138.555196 99.606095) (xy 138.474707 99.581678) (xy 138.391 99.573434) (xy 138.18 99.573434) (xy 138.18 98.565381) - (xy 138.182549 98.5395) (xy 138.172374 98.43619) (xy 138.170066 98.428582) (xy 138.170066 98.275589) (xy 138.19194 98.385558) - (xy 138.246743 98.517864) (xy 138.271222 98.5545) (xy 138.264 98.5545) (xy 138.264 99.22875) (xy 138.42275 99.3875) - (xy 138.530902 99.375046) (xy 138.649666 99.33579) (xy 138.75849 99.274119) (xy 138.853192 99.192403) (xy 138.930132 99.093781) - (xy 138.975609 99.003397) (xy 139.090521 99.118308) (xy 139.095203 99.133744) (xy 139.061422 99.160776) (xy 138.980882 99.25648) - (xy 138.920559 99.366058) (xy 138.882774 99.485298) (xy 138.872 99.60175) (xy 139.03075 99.7605) (xy 139.7 99.7605) - (xy 139.7 99.7405) (xy 139.954 99.7405) (xy 139.954 99.7605) (xy 140.62325 99.7605) (xy 140.782 99.60175) - (xy 140.771226 99.485298) (xy 140.733441 99.366058) (xy 140.673118 99.25648) (xy 140.592578 99.160776) (xy 140.558797 99.133744) - (xy 140.565228 99.112544) (xy 140.576066 99.0025) (xy 140.576066 98.7325) (xy 140.565228 98.622456) (xy 140.533129 98.516641) - (xy 140.481004 98.419122) (xy 140.410855 98.333645) (xy 140.325378 98.263496) (xy 140.227859 98.211371) (xy 140.122044 98.179272) - (xy 140.012 98.168434) (xy 139.642 98.168434) (xy 139.632192 98.1694) (xy 139.618002 98.15521) (xy 139.618002 98.101897) - (xy 139.590064 97.961442) (xy 139.535261 97.829136) (xy 139.4557 97.710064) (xy 139.354438 97.608802) (xy 139.235366 97.529241) - (xy 139.10306 97.474438) (xy 138.962605 97.4465) (xy 138.819399 97.4465) (xy 138.678944 97.474438) (xy 138.605833 97.504722) - (xy 138.530902 97.479954) (xy 138.42275 97.4675) (xy 138.264 97.62625) (xy 138.264 97.803309) (xy 138.246743 97.829136) - (xy 138.19194 97.961442) (xy 138.168018 98.081706) (xy 138.161822 98.018793) (xy 138.137405 97.938304) (xy 138.118 97.902) - (xy 138.118 97.62625) (xy 138.068 97.57625) (xy 138.068 94.291381) (xy 138.070549 94.265503) (xy 138.068 94.239623) - (xy 138.060374 94.162194) (xy 138.047393 94.119404) (xy 138.051364 94.117759) (xy 138.170436 94.038198) (xy 138.208134 94.0005) - (xy 139.021119 94.0005) (xy 139.047 94.003049) (xy 139.072881 94.0005) (xy 139.15031 93.992874) (xy 139.24965 93.962739) - (xy 139.341202 93.913804) (xy 139.421448 93.847948) (xy 139.437955 93.827834) (xy 140.831339 92.434451) (xy 140.851448 92.417948) - (xy 140.917304 92.337702) (xy 140.966239 92.24615) (xy 140.996374 92.14681) (xy 141.004 92.069381) (xy 141.004 92.069379) - (xy 141.006549 92.043501) (xy 141.004 92.017623) (xy 141.004 91.275132) (xy 141.033755 91.238876) (xy 141.073405 91.164696) - (xy 141.097822 91.084207) (xy 141.106066 91.0005) (xy 141.106066 90.984739) (xy 141.895123 90.984739) (xy 141.904305 91.109486) - (xy 141.937647 91.230044) (xy 141.993868 91.341781) (xy 142.070808 91.440403) (xy 142.16551 91.522119) (xy 142.274334 91.58379) - (xy 142.393098 91.623046) (xy 142.50125 91.6355) (xy 142.66 91.47675) (xy 142.66 90.8025) (xy 142.05675 90.8025) - (xy 141.898 90.96125) (xy 141.895123 90.984739) (xy 141.106066 90.984739) (xy 141.106066 90.3505) (xy 141.097822 90.266793) - (xy 141.073405 90.186304) (xy 141.033755 90.112124) (xy 140.986464 90.0545) (xy 141.971085 90.0545) (xy 141.937647 90.120956) - (xy 141.904305 90.241514) (xy 141.895123 90.366261) (xy 141.898 90.38975) (xy 142.05675 90.5485) (xy 142.66 90.5485) - (xy 142.66 90.5285) (xy 142.753934 90.5285) (xy 142.753934 91.0005) (xy 142.762178 91.084207) (xy 142.786595 91.164696) - (xy 142.806 91.201) (xy 142.806 91.47675) (xy 142.856 91.52675) (xy 142.856001 94.8465) (xy 142.755397 94.8465) - (xy 142.614942 94.874438) (xy 142.482636 94.929241) (xy 142.363564 95.008802) (xy 142.262302 95.110064) (xy 142.182741 95.229136) - (xy 142.127938 95.361442) (xy 142.1 95.501897) (xy 142.1 95.645103) (xy 142.127938 95.785558) (xy 142.182741 95.917864) - (xy 142.262302 96.036936) (xy 142.363564 96.138198) (xy 142.392188 96.157324) (xy 142.390595 96.160304) (xy 142.366178 96.240793) - (xy 142.357934 96.3245) (xy 142.357934 96.6863) (xy 142.350436 96.678802) (xy 142.231364 96.599241) (xy 142.099058 96.544438) - (xy 141.958603 96.5165) (xy 141.815397 96.5165) (xy 141.674942 96.544438) (xy 141.542636 96.599241) (xy 141.423564 96.678802) - (xy 141.322302 96.780064) (xy 141.242741 96.899136) (xy 141.187938 97.031442) (xy 141.16 97.171897) (xy 141.16 97.315103) - (xy 141.187938 97.455558) (xy 141.242741 97.587864) (xy 141.263599 97.61908) (xy 141.203735 97.708673) (xy 141.148932 97.840979) - (xy 141.120994 97.981434) (xy 141.120994 98.12464) (xy 141.148932 98.265095) (xy 141.203735 98.397401) (xy 141.283296 98.516473) - (xy 141.384558 98.617735) (xy 141.50363 98.697296) (xy 141.635936 98.752099) (xy 141.776391 98.780037) (xy 141.829704 98.780037) - (xy 141.95351 98.903844) (xy 141.970009 98.923948) (xy 142.050255 98.989804) (xy 142.141807 99.038739) (xy 142.195751 99.055103) - (xy 142.241147 99.068874) (xy 142.344457 99.079049) (xy 142.370338 99.0765) (xy 142.410802 99.0765) (xy 142.430245 99.112876) - (xy 142.483605 99.177895) (xy 142.548624 99.231255) (xy 142.622804 99.270905) (xy 142.703293 99.295322) (xy 142.787 99.303566) - (xy 143.187 99.303566) (xy 143.270707 99.295322) (xy 143.351196 99.270905) (xy 143.425376 99.231255) (xy 143.490395 99.177895) - (xy 143.543755 99.112876) (xy 143.583405 99.038696) (xy 143.607822 98.958207) (xy 143.616066 98.8745) (xy 143.616066 98.623856) - (xy 143.657934 98.665724) (xy 143.657934 98.8745) (xy 143.666178 98.958207) (xy 143.690595 99.038696) (xy 143.730245 99.112876) - (xy 143.783605 99.177895) (xy 143.848624 99.231255) (xy 143.922804 99.270905) (xy 144.003293 99.295322) (xy 144.087 99.303566) - (xy 144.487 99.303566) (xy 144.570707 99.295322) (xy 144.651196 99.270905) (xy 144.725376 99.231255) (xy 144.790395 99.177895) - (xy 144.843755 99.112876) (xy 144.883405 99.038696) (xy 144.907822 98.958207) (xy 144.916066 98.8745) (xy 144.916066 98.7505) - (xy 145.495866 98.7505) (xy 145.533564 98.788198) (xy 145.652636 98.867759) (xy 145.784942 98.922562) (xy 145.925397 98.9505) - (xy 146.068603 98.9505) (xy 146.209058 98.922562) (xy 146.341364 98.867759) (xy 146.460436 98.788198) (xy 146.561698 98.686936) - (xy 146.641259 98.567864) (xy 146.696062 98.435558) (xy 146.724 98.295103) (xy 146.724 98.151897) (xy 146.696062 98.011442) - (xy 146.641259 97.879136) (xy 146.589385 97.801501) (xy 148.024057 97.801501) (xy 148.02 97.821897) (xy 148.02 97.965103) - (xy 148.047938 98.105558) (xy 148.102741 98.237864) (xy 148.182302 98.356936) (xy 148.283564 98.458198) (xy 148.402636 98.537759) - (xy 148.534942 98.592562) (xy 148.595934 98.604694) (xy 148.595934 98.7525) (xy 148.604178 98.836207) (xy 148.628595 98.916696) - (xy 148.668245 98.990876) (xy 148.721605 99.055895) (xy 148.786624 99.109255) (xy 148.860804 99.148905) (xy 148.941293 99.173322) - (xy 149.025 99.181566) (xy 149.348 99.181566) (xy 149.348 99.573434) (xy 149.025 99.573434) (xy 148.941293 99.581678) - (xy 148.860804 99.606095) (xy 148.786624 99.645745) (xy 148.721605 99.699105) (xy 148.668245 99.764124) (xy 148.628595 99.838304) - (xy 148.604178 99.918793) (xy 148.595934 100.0025) (xy 148.595934 100.6525) (xy 148.604178 100.736207) (xy 148.62138 100.792912) - (xy 148.505335 100.87045) (xy 148.404073 100.971712) (xy 148.324512 101.090784) (xy 148.269709 101.22309) (xy 148.241771 101.363545) - (xy 148.241771 101.506751) (xy 148.269709 101.647206) (xy 148.324512 101.779512) (xy 148.404073 101.898584) (xy 148.505335 101.999846) - (xy 148.624407 102.079407) (xy 148.756713 102.13421) (xy 148.897168 102.162148) (xy 149.040374 102.162148) (xy 149.052039 102.159828) - (xy 149.137645 102.245434) (xy 149.137 102.245434) (xy 149.053293 102.253678) (xy 148.972804 102.278095) (xy 148.898624 102.317745) - (xy 148.833605 102.371105) (xy 148.780245 102.436124) (xy 148.760802 102.4725) (xy 148.607117 102.4725) (xy 148.476511 102.446521) - (xy 148.333305 102.446521) (xy 148.19285 102.474459) (xy 148.060544 102.529262) (xy 147.941472 102.608823) (xy 147.84021 102.710085) - (xy 147.760649 102.829157) (xy 147.705846 102.961463) (xy 147.677908 103.101918) (xy 147.677908 103.245124) (xy 147.705846 103.385579) - (xy 147.760649 103.517885) (xy 147.84021 103.636957) (xy 147.941472 103.738219) (xy 148.060544 103.81778) (xy 148.19285 103.872583) - (xy 148.333305 103.900521) (xy 148.476511 103.900521) (xy 148.616966 103.872583) (xy 148.749272 103.81778) (xy 148.868344 103.738219) - (xy 148.916013 103.69055) (xy 148.972804 103.720905) (xy 149.053293 103.745322) (xy 149.137 103.753566) (xy 149.537 103.753566) - (xy 149.620707 103.745322) (xy 149.701196 103.720905) (xy 149.775376 103.681255) (xy 149.840395 103.627895) (xy 149.893755 103.562876) - (xy 149.933405 103.488696) (xy 149.957822 103.408207) (xy 149.966066 103.3245) (xy 149.966066 103.073857) (xy 150.007934 103.115725) - (xy 150.007934 103.3245) (xy 150.016178 103.408207) (xy 150.040595 103.488696) (xy 150.080245 103.562876) (xy 150.133605 103.627895) - (xy 150.198624 103.681255) (xy 150.248368 103.707844) (xy 150.209983 103.746229) (xy 150.196998 103.74495) (xy 150.17112 103.747499) - (xy 150.171118 103.747499) (xy 150.093689 103.755125) (xy 149.994349 103.78526) (xy 149.902797 103.834195) (xy 149.822551 103.900051) - (xy 149.806044 103.920165) (xy 149.75007 103.976139) (xy 149.676902 103.951954) (xy 149.56875 103.9395) (xy 149.41 104.09825) - (xy 149.41 104.374) (xy 149.390595 104.410304) (xy 149.366178 104.490793) (xy 149.357934 104.5745) (xy 149.357934 105.2245) - (xy 149.366178 105.308207) (xy 149.390595 105.388696) (xy 149.41 105.425) (xy 149.41 105.70075) (xy 149.56875 105.8595) - (xy 149.676902 105.847046) (xy 149.795666 105.80779) (xy 149.90449 105.746119) (xy 149.999192 105.664403) (xy 150.007646 105.653566) - (xy 150.109427 105.653566) (xy 150.117626 105.736809) (xy 150.147761 105.836149) (xy 150.18769 105.910851) (xy 150.196697 105.927702) - (xy 150.262553 106.007948) (xy 150.282661 106.02445) (xy 150.39 106.13179) (xy 150.39 106.185103) (xy 150.417938 106.325558) - (xy 150.472741 106.457864) (xy 150.552302 106.576936) (xy 150.653564 106.678198) (xy 150.772636 106.757759) (xy 150.904942 106.812562) - (xy 151.045397 106.8405) (xy 151.188603 106.8405) (xy 151.329058 106.812562) (xy 151.461364 106.757759) (xy 151.580436 106.678198) - (xy 151.681698 106.576936) (xy 151.761259 106.457864) (xy 151.816062 106.325558) (xy 151.844 106.185103) (xy 151.844 106.041897) - (xy 151.816062 105.901442) (xy 151.761259 105.769136) (xy 151.681698 105.650064) (xy 151.580436 105.548802) (xy 151.461364 105.469241) - (xy 151.329058 105.414438) (xy 151.23016 105.394766) (xy 151.233405 105.388696) (xy 151.257822 105.308207) (xy 151.266066 105.2245) - (xy 151.266066 104.5745) (xy 151.257822 104.490793) (xy 151.233405 104.410304) (xy 151.193755 104.336124) (xy 151.156303 104.290489) - (xy 151.521344 103.925449) (xy 151.541448 103.90895) (xy 151.607304 103.828704) (xy 151.656239 103.737152) (xy 151.686374 103.637812) - (xy 151.694 103.560383) (xy 151.696549 103.534502) (xy 151.694 103.508621) (xy 151.694 102.721789) (xy 151.865299 102.550491) - (xy 152.56 102.550491) (xy 152.56 102.675103) (xy 152.587938 102.815558) (xy 152.642741 102.947864) (xy 152.722302 103.066936) - (xy 152.760332 103.104966) (xy 152.66369 103.201608) (xy 152.584129 103.32068) (xy 152.529326 103.452986) (xy 152.501388 103.593441) - (xy 152.501388 103.736647) (xy 152.529326 103.877102) (xy 152.584129 104.009408) (xy 152.66369 104.12848) (xy 152.764952 104.229742) - (xy 152.884024 104.309303) (xy 153.01633 104.364106) (xy 153.156785 104.392044) (xy 153.172254 104.392044) (xy 153.340053 104.559844) - (xy 153.356552 104.579948) (xy 153.358204 104.581304) (xy 153.396996 104.653878) (xy 153.467145 104.739355) (xy 153.552622 104.809504) - (xy 153.650141 104.861629) (xy 153.755956 104.893728) (xy 153.866 104.904566) (xy 154.236 104.904566) (xy 154.346044 104.893728) - (xy 154.451859 104.861629) (xy 154.549378 104.809504) (xy 154.634855 104.739355) (xy 154.705004 104.653878) (xy 154.757129 104.556359) - (xy 154.789228 104.450544) (xy 154.800066 104.3405) (xy 154.800066 104.0705) (xy 154.789228 103.960456) (xy 154.757129 103.854641) - (xy 154.705004 103.757122) (xy 154.654432 103.6955) (xy 154.705004 103.633878) (xy 154.757129 103.536359) (xy 154.789228 103.430544) - (xy 154.800066 103.3205) (xy 154.800066 103.157856) (xy 154.913999 103.271789) (xy 154.913999 103.74362) (xy 154.91145 103.769501) - (xy 154.921625 103.87281) (xy 154.95176 103.97215) (xy 155.000695 104.063702) (xy 155.006274 104.0705) (xy 155.066552 104.143949) - (xy 155.086659 104.16045) (xy 155.110046 104.183838) (xy 155.126551 104.203949) (xy 155.206797 104.269805) (xy 155.298349 104.31874) - (xy 155.397689 104.348875) (xy 155.475118 104.356501) (xy 155.500999 104.35905) (xy 155.52688 104.356501) (xy 157.65512 104.356501) - (xy 157.681001 104.35905) (xy 157.706882 104.356501) (xy 157.784311 104.348875) (xy 157.883651 104.31874) (xy 157.975203 104.269805) - (xy 158.055449 104.203949) (xy 158.071955 104.183837) (xy 158.095338 104.160454) (xy 158.115449 104.143949) (xy 158.181305 104.063703) - (xy 158.23024 103.972151) (xy 158.260375 103.872811) (xy 158.268001 103.795382) (xy 158.268001 103.795381) (xy 158.27055 103.769501) - (xy 158.268001 103.74362) (xy 158.268001 96.235381) (xy 158.27055 96.2095) (xy 158.260375 96.106191) (xy 158.23024 96.006851) - (xy 158.181305 95.915299) (xy 158.165002 95.895434) (xy 158.115449 95.835053) (xy 158.09534 95.81855) (xy 157.859315 95.582526) - (xy 157.896391 95.578874) (xy 157.995731 95.548739) (xy 158.087283 95.499804) (xy 158.167529 95.433948) (xy 158.184036 95.413834) - (xy 158.850344 94.747528) (xy 158.870448 94.731029) (xy 158.936304 94.650783) (xy 158.985239 94.559231) (xy 159.015374 94.459891) - (xy 159.023 94.382462) (xy 159.025549 94.356581) (xy 159.023 94.3307) (xy 159.023 93.180377) (xy 159.025549 93.154499) - (xy 159.023 93.128619) (xy 159.015374 93.05119) (xy 158.985239 92.95185) (xy 158.936304 92.860298) (xy 158.870448 92.780052) - (xy 158.850339 92.763549) (xy 158.413954 92.327165) (xy 158.397447 92.307051) (xy 158.371778 92.285985) (xy 157.943082 91.85729) - (xy 157.998395 91.811895) (xy 158.051755 91.746876) (xy 158.076543 91.7005) (xy 158.085866 91.7005) (xy 158.123564 91.738198) - (xy 158.242636 91.817759) (xy 158.374942 91.872562) (xy 158.515397 91.9005) (xy 158.658603 91.9005) (xy 158.799058 91.872562) - (xy 158.931364 91.817759) (xy 159.050436 91.738198) (xy 159.151698 91.636936) (xy 159.231259 91.517864) (xy 159.286062 91.385558) - (xy 159.314 91.245103) (xy 159.314 91.101897) (xy 159.286062 90.961442) (xy 159.231259 90.829136) (xy 159.151698 90.710064) - (xy 159.050436 90.608802) (xy 158.931364 90.529241) (xy 158.799058 90.474438) (xy 158.658603 90.4465) (xy 158.515397 90.4465) - (xy 158.374942 90.474438) (xy 158.242636 90.529241) (xy 158.123564 90.608802) (xy 158.085866 90.6465) (xy 158.065853 90.6465) - (xy 158.051755 90.620124) (xy 157.998395 90.555105) (xy 157.933376 90.501745) (xy 157.859196 90.462095) (xy 157.778707 90.437678) - (xy 157.695 90.429434) (xy 157.372 90.429434) (xy 157.372 90.037566) (xy 157.695 90.037566) (xy 157.778707 90.029322) - (xy 157.859196 90.004905) (xy 157.933376 89.965255) (xy 157.998395 89.911895) (xy 158.051755 89.846876) (xy 158.071198 89.8105) - (xy 158.366806 89.8105) (xy 158.392636 89.827759) (xy 158.524942 89.882562) (xy 158.665397 89.9105) (xy 158.808603 89.9105) - (xy 158.949058 89.882562) (xy 159.081364 89.827759) (xy 159.200436 89.748198) (xy 159.301698 89.646936) (xy 159.381259 89.527864) - (xy 159.436062 89.395558) (xy 159.464 89.255103) (xy 159.464 89.111897) (xy 159.436062 88.971442) (xy 159.381259 88.839136) - (xy 159.301698 88.720064) (xy 159.200436 88.618802) (xy 159.081364 88.539241) (xy 158.949058 88.484438) (xy 158.808603 88.4565) - (xy 158.665397 88.4565) (xy 158.524942 88.484438) (xy 158.392636 88.539241) (xy 158.273564 88.618802) (xy 158.172302 88.720064) - (xy 158.147956 88.7565) (xy 158.071198 88.7565) (xy 158.051755 88.720124) (xy 157.998395 88.655105) (xy 157.933376 88.601745) - (xy 157.859196 88.562095) (xy 157.778707 88.537678) (xy 157.695 88.529434) (xy 157.295 88.529434) (xy 157.251965 88.533672) - (xy 157.219448 88.49405) (xy 157.199339 88.477547) (xy 155.484 86.762209) (xy 155.484 84.901897) (xy 157.73 84.901897) - (xy 157.73 85.045103) (xy 157.757938 85.185558) (xy 157.812741 85.317864) (xy 157.892302 85.436936) (xy 157.993564 85.538198) - (xy 158.112636 85.617759) (xy 158.244942 85.672562) (xy 158.385397 85.7005) (xy 158.528603 85.7005) (xy 158.669058 85.672562) - (xy 158.801364 85.617759) (xy 158.920436 85.538198) (xy 159.021698 85.436936) (xy 159.101259 85.317864) (xy 159.156062 85.185558) - (xy 159.184 85.045103) (xy 159.184 84.99179) (xy 159.291344 84.884447) (xy 159.311448 84.867948) (xy 159.377304 84.787702) - (xy 159.426239 84.69615) (xy 159.456374 84.59681) (xy 159.464 84.519381) (xy 159.464 84.51938) (xy 159.466549 84.4935) - (xy 159.464 84.467619) (xy 159.464 81.444634) (xy 159.501698 81.406936) (xy 159.581259 81.287864) (xy 159.636062 81.155558) - (xy 159.664 81.015103) (xy 159.664 80.871897) (xy 159.636062 80.731442) (xy 159.581259 80.599136) (xy 159.501698 80.480064) - (xy 159.400436 80.378802) (xy 159.281364 80.299241) (xy 159.149058 80.244438) (xy 159.008603 80.2165) (xy 158.865397 80.2165) - (xy 158.724942 80.244438) (xy 158.592636 80.299241) (xy 158.473564 80.378802) (xy 158.372302 80.480064) (xy 158.292741 80.599136) - (xy 158.237938 80.731442) (xy 158.21 80.871897) (xy 158.21 81.015103) (xy 158.237938 81.155558) (xy 158.292741 81.287864) - (xy 158.372302 81.406936) (xy 158.410001 81.444635) (xy 158.41 84.2465) (xy 158.385397 84.2465) (xy 158.244942 84.274438) - (xy 158.112636 84.329241) (xy 157.993564 84.408802) (xy 157.892302 84.510064) (xy 157.812741 84.629136) (xy 157.757938 84.761442) - (xy 157.73 84.901897) (xy 155.484 84.901897) (xy 155.484 82.32938) (xy 155.486549 82.303499) (xy 155.476374 82.20019) - (xy 155.446239 82.10085) (xy 155.442645 82.094126) (xy 155.397304 82.009298) (xy 155.331448 81.929052) (xy 155.311339 81.912549) - (xy 154.427356 81.028566) (xy 154.49 81.028566) (xy 154.600044 81.017728) (xy 154.705859 80.985629) (xy 154.803378 80.933504) - (xy 154.847264 80.897488) (xy 154.862636 80.907759) (xy 154.994942 80.962562) (xy 155.135397 80.9905) (xy 155.278603 80.9905) - (xy 155.419058 80.962562) (xy 155.551364 80.907759) (xy 155.670436 80.828198) (xy 155.771698 80.726936) (xy 155.851259 80.607864) - (xy 155.906062 80.475558) (xy 155.934 80.335103) (xy 155.934 80.191897) (xy 155.906062 80.051442) (xy 155.851259 79.919136) - (xy 155.786467 79.822167) (xy 155.851698 79.756936) (xy 155.931259 79.637864) (xy 155.986062 79.505558) (xy 156.014 79.365103) - (xy 156.014 79.221897) (xy 155.986062 79.081442) (xy 155.931259 78.949136) (xy 155.851698 78.830064) (xy 155.750436 78.728802) - (xy 155.631364 78.649241) (xy 155.499058 78.594438) (xy 155.358603 78.5665) (xy 155.215397 78.5665) (xy 155.074942 78.594438) - (xy 154.942636 78.649241) (xy 154.828091 78.725777) (xy 154.803378 78.705496) (xy 154.705859 78.653371) (xy 154.600044 78.621272) - (xy 154.49 78.610434) (xy 154.12 78.610434) (xy 154.009956 78.621272) (xy 153.904141 78.653371) (xy 153.806622 78.705496) - (xy 153.721145 78.775645) (xy 153.659713 78.8505) (xy 152.991198 78.8505) (xy 152.971755 78.814124) (xy 152.918395 78.749105) - (xy 152.853376 78.695745) (xy 152.779196 78.656095) (xy 152.698707 78.631678) (xy 152.615 78.623434) (xy 152.282931 78.623434) - (xy 152.254239 78.52885) (xy 152.251651 78.524008) (xy 152.205304 78.437298) (xy 152.139448 78.357052) (xy 152.119334 78.340545) - (xy 152.018289 78.2395) (xy 159.108891 78.2395) (xy 159.388134 78.26688) (xy 159.635473 78.341555) (xy 159.863597 78.462851) - (xy 160.063819 78.626148) (xy 160.228507 78.825221) (xy 160.351393 79.052494) (xy 160.427794 79.299306) (xy 160.457001 79.577195) - (xy 160.457 118.151391) (xy 160.42962 118.430633) (xy 160.354943 118.677977) (xy 160.233649 118.906097) (xy 160.070352 119.106319) - (xy 159.871279 119.271007) (xy 159.644008 119.393892) (xy 159.397194 119.470294) (xy 159.119314 119.4995) (xy 128.419109 119.4995) - (xy 128.139867 119.47212) (xy 127.892523 119.397443) (xy 127.664403 119.276149) (xy 127.464181 119.112852) (xy 127.299493 118.913779) - (xy 127.176608 118.686508) (xy 127.100206 118.439694) (xy 127.071 118.161814) (xy 127.071 115.878358) (xy 128.402 115.878358) - (xy 128.402 116.277642) (xy 128.479896 116.669254) (xy 128.632696 117.038145) (xy 128.854526 117.370137) (xy 129.136863 117.652474) - (xy 129.468855 117.874304) (xy 129.837746 118.027104) (xy 130.229358 118.105) (xy 130.628642 118.105) (xy 131.020254 118.027104) - (xy 131.389145 117.874304) (xy 131.721137 117.652474) (xy 132.003474 117.370137) (xy 132.225304 117.038145) (xy 132.378104 116.669254) - (xy 132.401712 116.550566) (xy 134.557539 116.550566) (xy 134.659339 116.820079) (xy 134.957477 116.965963) (xy 135.278346 117.05088) - (xy 135.609617 117.071566) (xy 135.938557 117.027228) (xy 136.252527 116.919569) (xy 136.438661 116.820079) (xy 136.540461 116.550566) - (xy 135.549 115.559105) (xy 134.557539 116.550566) (xy 132.401712 116.550566) (xy 132.456 116.277642) (xy 132.456 115.878358) - (xy 132.378104 115.486746) (xy 132.35879 115.440117) (xy 133.856934 115.440117) (xy 133.901272 115.769057) (xy 134.008931 116.083027) - (xy 134.108421 116.269161) (xy 134.377934 116.370961) (xy 135.369395 115.3795) (xy 135.728605 115.3795) (xy 136.720066 116.370961) - (xy 136.891766 116.306107) (xy 136.901739 116.321033) (xy 137.107467 116.526761) (xy 137.349378 116.688401) (xy 137.618175 116.79974) - (xy 137.903528 116.8565) (xy 138.194472 116.8565) (xy 138.479825 116.79974) (xy 138.652447 116.728238) (xy 139.008054 117.083845) - (xy 139.024553 117.103949) (xy 139.104799 117.169805) (xy 139.196351 117.21874) (xy 139.295691 117.248875) (xy 139.399001 117.25905) - (xy 139.424882 117.256501) (xy 141.753118 117.256501) (xy 141.778999 117.25905) (xy 141.80488 117.256501) (xy 141.882309 117.248875) - (xy 141.981649 117.21874) (xy 142.073201 117.169805) (xy 142.153447 117.103949) (xy 142.169954 117.083835) (xy 142.703223 116.550566) - (xy 146.392039 116.550566) (xy 146.493839 116.820079) (xy 146.791977 116.965963) (xy 147.112846 117.05088) (xy 147.444117 117.071566) - (xy 147.773057 117.027228) (xy 148.087027 116.919569) (xy 148.273161 116.820079) (xy 148.374961 116.550566) (xy 147.3835 115.559105) - (xy 146.392039 116.550566) (xy 142.703223 116.550566) (xy 143.813672 115.440117) (xy 145.691434 115.440117) (xy 145.735772 115.769057) - (xy 145.843431 116.083027) (xy 145.942921 116.269161) (xy 146.212434 116.370961) (xy 147.203895 115.3795) (xy 147.563105 115.3795) - (xy 148.554566 116.370961) (xy 148.726266 116.306107) (xy 148.736239 116.321033) (xy 148.941967 116.526761) (xy 149.183878 116.688401) - (xy 149.452675 116.79974) (xy 149.738028 116.8565) (xy 150.028972 116.8565) (xy 150.314325 116.79974) (xy 150.583122 116.688401) - (xy 150.825033 116.526761) (xy 151.030761 116.321033) (xy 151.1335 116.167273) (xy 151.236239 116.321033) (xy 151.441967 116.526761) - (xy 151.683878 116.688401) (xy 151.952675 116.79974) (xy 152.238028 116.8565) (xy 152.528972 116.8565) (xy 152.814325 116.79974) - (xy 153.083122 116.688401) (xy 153.325033 116.526761) (xy 153.530761 116.321033) (xy 153.692401 116.079122) (xy 153.749257 115.941858) - (xy 155.072 115.941858) (xy 155.072 116.341142) (xy 155.149896 116.732754) (xy 155.302696 117.101645) (xy 155.524526 117.433637) - (xy 155.806863 117.715974) (xy 156.138855 117.937804) (xy 156.507746 118.090604) (xy 156.899358 118.1685) (xy 157.298642 118.1685) - (xy 157.690254 118.090604) (xy 158.059145 117.937804) (xy 158.391137 117.715974) (xy 158.673474 117.433637) (xy 158.895304 117.101645) - (xy 159.048104 116.732754) (xy 159.126 116.341142) (xy 159.126 115.941858) (xy 159.048104 115.550246) (xy 158.895304 115.181355) - (xy 158.673474 114.849363) (xy 158.391137 114.567026) (xy 158.059145 114.345196) (xy 157.690254 114.192396) (xy 157.298642 114.1145) - (xy 156.899358 114.1145) (xy 156.507746 114.192396) (xy 156.138855 114.345196) (xy 155.806863 114.567026) (xy 155.524526 114.849363) - (xy 155.302696 115.181355) (xy 155.149896 115.550246) (xy 155.072 115.941858) (xy 153.749257 115.941858) (xy 153.80374 115.810325) - (xy 153.8605 115.524972) (xy 153.8605 115.234028) (xy 153.80374 114.948675) (xy 153.692401 114.679878) (xy 153.530761 114.437967) - (xy 153.325033 114.232239) (xy 153.083122 114.070599) (xy 152.814325 113.95926) (xy 152.528972 113.9025) (xy 152.238028 113.9025) - (xy 151.952675 113.95926) (xy 151.683878 114.070599) (xy 151.441967 114.232239) (xy 151.236239 114.437967) (xy 151.1335 114.591727) - (xy 151.030761 114.437967) (xy 150.825033 114.232239) (xy 150.583122 114.070599) (xy 150.314325 113.95926) (xy 150.028972 113.9025) - (xy 149.738028 113.9025) (xy 149.452675 113.95926) (xy 149.183878 114.070599) (xy 148.941967 114.232239) (xy 148.736239 114.437967) - (xy 148.726266 114.452893) (xy 148.554566 114.388039) (xy 147.563105 115.3795) (xy 147.203895 115.3795) (xy 146.212434 114.388039) - (xy 145.942921 114.489839) (xy 145.797037 114.787977) (xy 145.71212 115.108846) (xy 145.691434 115.440117) (xy 143.813672 115.440117) - (xy 145.045355 114.208434) (xy 146.392039 114.208434) (xy 147.3835 115.199895) (xy 148.374961 114.208434) (xy 148.273161 113.938921) - (xy 147.975023 113.793037) (xy 147.654154 113.70812) (xy 147.322883 113.687434) (xy 146.993943 113.731772) (xy 146.679973 113.839431) - (xy 146.493839 113.938921) (xy 146.392039 114.208434) (xy 145.045355 114.208434) (xy 147.111333 112.142457) (xy 147.111548 112.1425) - (xy 147.274452 112.1425) (xy 147.434227 112.110718) (xy 147.584731 112.048377) (xy 147.720181 111.957872) (xy 147.835372 111.842681) - (xy 147.925877 111.707231) (xy 147.988218 111.556727) (xy 148.02 111.396952) (xy 148.02 111.234048) (xy 147.988218 111.074273) - (xy 147.925877 110.923769) (xy 147.835372 110.788319) (xy 147.720181 110.673128) (xy 147.584731 110.582623) (xy 147.434227 110.520282) - (xy 147.274452 110.4885) (xy 147.111548 110.4885) (xy 146.951773 110.520282) (xy 146.801269 110.582623) (xy 146.665819 110.673128) - (xy 146.550628 110.788319) (xy 146.460123 110.923769) (xy 146.397782 111.074273) (xy 146.366 111.234048) (xy 146.366 111.396952) - (xy 146.366043 111.397167) (xy 141.973301 115.78991) (xy 142.026 115.524972) (xy 142.026 115.234028) (xy 141.96924 114.948675) - (xy 141.857901 114.679878) (xy 141.696261 114.437967) (xy 141.490533 114.232239) (xy 141.248622 114.070599) (xy 140.979825 113.95926) - (xy 140.694472 113.9025) (xy 140.403528 113.9025) (xy 140.118175 113.95926) (xy 139.849378 114.070599) (xy 139.607467 114.232239) - (xy 139.401739 114.437967) (xy 139.299 114.591727) (xy 139.196261 114.437967) (xy 138.990533 114.232239) (xy 138.748622 114.070599) - (xy 138.479825 113.95926) (xy 138.194472 113.9025) (xy 137.903528 113.9025) (xy 137.618175 113.95926) (xy 137.349378 114.070599) - (xy 137.107467 114.232239) (xy 136.901739 114.437967) (xy 136.891766 114.452893) (xy 136.720066 114.388039) (xy 135.728605 115.3795) - (xy 135.369395 115.3795) (xy 134.377934 114.388039) (xy 134.108421 114.489839) (xy 133.962537 114.787977) (xy 133.87762 115.108846) - (xy 133.856934 115.440117) (xy 132.35879 115.440117) (xy 132.225304 115.117855) (xy 132.003474 114.785863) (xy 131.721137 114.503526) - (xy 131.389145 114.281696) (xy 131.212276 114.208434) (xy 134.557539 114.208434) (xy 135.549 115.199895) (xy 136.540461 114.208434) - (xy 136.438661 113.938921) (xy 136.140523 113.793037) (xy 135.819654 113.70812) (xy 135.488383 113.687434) (xy 135.159443 113.731772) - (xy 134.845473 113.839431) (xy 134.659339 113.938921) (xy 134.557539 114.208434) (xy 131.212276 114.208434) (xy 131.020254 114.128896) - (xy 130.628642 114.051) (xy 130.229358 114.051) (xy 129.837746 114.128896) (xy 129.468855 114.281696) (xy 129.136863 114.503526) - (xy 128.854526 114.785863) (xy 128.632696 115.117855) (xy 128.479896 115.486746) (xy 128.402 115.878358) (xy 127.071 115.878358) - (xy 127.071 91.934739) (xy 127.925123 91.934739) (xy 127.934305 92.059486) (xy 127.967647 92.180044) (xy 128.023868 92.291781) - (xy 128.100808 92.390403) (xy 128.19551 92.472119) (xy 128.304334 92.53379) (xy 128.423098 92.573046) (xy 128.53125 92.5855) - (xy 128.69 92.42675) (xy 128.69 91.7525) (xy 128.08675 91.7525) (xy 127.928 91.91125) (xy 127.925123 91.934739) - (xy 127.071 91.934739) (xy 127.071 91.316261) (xy 127.925123 91.316261) (xy 127.928 91.33975) (xy 128.08675 91.4985) - (xy 128.69 91.4985) (xy 128.69 90.82425) (xy 128.53125 90.6655) (xy 128.423098 90.677954) (xy 128.304334 90.71721) - (xy 128.19551 90.778881) (xy 128.100808 90.860597) (xy 128.023868 90.959219) (xy 127.967647 91.070956) (xy 127.934305 91.191514) - (xy 127.925123 91.316261) (xy 127.071 91.316261) (xy 127.071 89.4005) (xy 128.133934 89.4005) (xy 128.133934 90.0505) - (xy 128.142178 90.134207) (xy 128.166595 90.214696) (xy 128.206245 90.288876) (xy 128.259605 90.353895) (xy 128.324624 90.407255) - (xy 128.398804 90.446905) (xy 128.479293 90.471322) (xy 128.563 90.479566) (xy 128.963 90.479566) (xy 129.046707 90.471322) - (xy 129.127196 90.446905) (xy 129.201376 90.407255) (xy 129.266395 90.353895) (xy 129.319755 90.288876) (xy 129.359405 90.214696) - (xy 129.383822 90.134207) (xy 129.392066 90.0505) (xy 129.392066 89.4005) (xy 129.433934 89.4005) (xy 129.433934 90.0505) - (xy 129.442178 90.134207) (xy 129.466595 90.214696) (xy 129.506245 90.288876) (xy 129.559605 90.353895) (xy 129.624624 90.407255) - (xy 129.698804 90.446905) (xy 129.779293 90.471322) (xy 129.863 90.479566) (xy 130.263 90.479566) (xy 130.346707 90.471322) - (xy 130.427196 90.446905) (xy 130.501376 90.407255) (xy 130.551327 90.366261) (xy 138.339123 90.366261) (xy 138.342 90.38975) - (xy 138.50075 90.5485) (xy 139.104 90.5485) (xy 139.104 89.87425) (xy 138.94525 89.7155) (xy 138.837098 89.727954) - (xy 138.718334 89.76721) (xy 138.60951 89.828881) (xy 138.514808 89.910597) (xy 138.437868 90.009219) (xy 138.381647 90.120956) - (xy 138.348305 90.241514) (xy 138.339123 90.366261) (xy 130.551327 90.366261) (xy 130.566395 90.353895) (xy 130.619755 90.288876) - (xy 130.642383 90.246541) (xy 130.65931 90.244874) (xy 130.75865 90.214739) (xy 130.850202 90.165804) (xy 130.930448 90.099948) - (xy 130.946955 90.079834) (xy 131.109332 89.917457) (xy 131.109548 89.9175) (xy 131.272452 89.9175) (xy 131.432227 89.885718) - (xy 131.582731 89.823377) (xy 131.718181 89.732872) (xy 131.833372 89.617681) (xy 131.923877 89.482231) (xy 131.986218 89.331727) - (xy 131.992151 89.301897) (xy 135.41 89.301897) (xy 135.41 89.445103) (xy 135.437938 89.585558) (xy 135.492741 89.717864) - (xy 135.572302 89.836936) (xy 135.673564 89.938198) (xy 135.792636 90.017759) (xy 135.924942 90.072562) (xy 136.065397 90.1005) - (xy 136.208603 90.1005) (xy 136.349058 90.072562) (xy 136.481364 90.017759) (xy 136.600436 89.938198) (xy 136.701698 89.836936) - (xy 136.781259 89.717864) (xy 136.836062 89.585558) (xy 136.864 89.445103) (xy 136.864 89.301897) (xy 136.836062 89.161442) - (xy 136.781259 89.029136) (xy 136.701698 88.910064) (xy 136.600436 88.808802) (xy 136.481364 88.729241) (xy 136.349058 88.674438) - (xy 136.208603 88.6465) (xy 136.065397 88.6465) (xy 135.924942 88.674438) (xy 135.792636 88.729241) (xy 135.673564 88.808802) - (xy 135.572302 88.910064) (xy 135.492741 89.029136) (xy 135.437938 89.161442) (xy 135.41 89.301897) (xy 131.992151 89.301897) - (xy 132.018 89.171952) (xy 132.018 89.009048) (xy 131.986218 88.849273) (xy 131.923877 88.698769) (xy 131.833372 88.563319) - (xy 131.718181 88.448128) (xy 131.582731 88.357623) (xy 131.432227 88.295282) (xy 131.272452 88.2635) (xy 131.109548 88.2635) - (xy 130.949773 88.295282) (xy 130.799269 88.357623) (xy 130.663819 88.448128) (xy 130.548628 88.563319) (xy 130.458123 88.698769) - (xy 130.395782 88.849273) (xy 130.368526 88.986297) (xy 130.346707 88.979678) (xy 130.263 88.971434) (xy 129.863 88.971434) - (xy 129.779293 88.979678) (xy 129.698804 89.004095) (xy 129.624624 89.043745) (xy 129.559605 89.097105) (xy 129.506245 89.162124) - (xy 129.466595 89.236304) (xy 129.442178 89.316793) (xy 129.433934 89.4005) (xy 129.392066 89.4005) (xy 129.383822 89.316793) - (xy 129.359405 89.236304) (xy 129.319755 89.162124) (xy 129.266395 89.097105) (xy 129.201376 89.043745) (xy 129.127196 89.004095) - (xy 129.046707 88.979678) (xy 128.963 88.971434) (xy 128.563 88.971434) (xy 128.479293 88.979678) (xy 128.398804 89.004095) - (xy 128.324624 89.043745) (xy 128.259605 89.097105) (xy 128.206245 89.162124) (xy 128.166595 89.236304) (xy 128.142178 89.316793) - (xy 128.133934 89.4005) (xy 127.071 89.4005) (xy 127.071 85.861897) (xy 129.85 85.861897) (xy 129.85 86.005103) - (xy 129.877938 86.145558) (xy 129.932741 86.277864) (xy 130.012302 86.396936) (xy 130.113564 86.498198) (xy 130.232636 86.577759) - (xy 130.364942 86.632562) (xy 130.505397 86.6605) (xy 130.648603 86.6605) (xy 130.789058 86.632562) (xy 130.921364 86.577759) - (xy 131.040436 86.498198) (xy 131.141698 86.396936) (xy 131.221259 86.277864) (xy 131.276062 86.145558) (xy 131.304 86.005103) - (xy 131.304 85.861897) (xy 131.276062 85.721442) (xy 131.221259 85.589136) (xy 131.141698 85.470064) (xy 131.040436 85.368802) - (xy 130.921364 85.289241) (xy 130.789058 85.234438) (xy 130.648603 85.2065) (xy 130.505397 85.2065) (xy 130.364942 85.234438) - (xy 130.232636 85.289241) (xy 130.113564 85.368802) (xy 130.012302 85.470064) (xy 129.932741 85.589136) (xy 129.877938 85.721442) - (xy 129.85 85.861897) (xy 127.071 85.861897) (xy 127.071 84.481897) (xy 135.39 84.481897) (xy 135.39 84.625103) - (xy 135.417938 84.765558) (xy 135.472741 84.897864) (xy 135.552302 85.016936) (xy 135.653564 85.118198) (xy 135.772636 85.197759) - (xy 135.904942 85.252562) (xy 136.045397 85.2805) (xy 136.188603 85.2805) (xy 136.329058 85.252562) (xy 136.461364 85.197759) - (xy 136.580436 85.118198) (xy 136.681698 85.016936) (xy 136.761259 84.897864) (xy 136.816062 84.765558) (xy 136.844 84.625103) - (xy 136.844 84.481897) (xy 136.816062 84.341442) (xy 136.761259 84.209136) (xy 136.681698 84.090064) (xy 136.580436 83.988802) - (xy 136.461364 83.909241) (xy 136.329058 83.854438) (xy 136.188603 83.8265) (xy 136.045397 83.8265) (xy 135.904942 83.854438) - (xy 135.772636 83.909241) (xy 135.653564 83.988802) (xy 135.552302 84.090064) (xy 135.472741 84.209136) (xy 135.417938 84.341442) - (xy 135.39 84.481897) (xy 127.071 84.481897) (xy 127.071 83.081893) (xy 127.36001 83.081893) (xy 127.36001 83.225099) - (xy 127.387948 83.365554) (xy 127.442751 83.49786) (xy 127.522312 83.616932) (xy 127.623574 83.718194) (xy 127.742646 83.797755) - (xy 127.874952 83.852558) (xy 128.015407 83.880496) (xy 128.158613 83.880496) (xy 128.299068 83.852558) (xy 128.431374 83.797755) - (xy 128.550446 83.718194) (xy 128.61814 83.6505) (xy 129.875848 83.6505) (xy 129.913546 83.688198) (xy 130.032618 83.767759) - (xy 130.164924 83.822562) (xy 130.305379 83.8505) (xy 130.448585 83.8505) (xy 130.58904 83.822562) (xy 130.721346 83.767759) - (xy 130.840418 83.688198) (xy 130.94168 83.586936) (xy 131.021241 83.467864) (xy 131.076044 83.335558) (xy 131.103982 83.195103) - (xy 131.103982 83.051897) (xy 131.076044 82.911442) (xy 131.021241 82.779136) (xy 130.949401 82.671619) (xy 133.582977 82.671619) - (xy 133.593699 82.796242) (xy 133.628527 82.916379) (xy 133.686124 83.027414) (xy 133.764276 83.125078) (xy 133.85998 83.205618) - (xy 133.969558 83.265941) (xy 134.088798 83.303726) (xy 134.20525 83.3145) (xy 134.364 83.15575) (xy 134.364 82.4865) - (xy 133.74475 82.4865) (xy 133.586 82.64525) (xy 133.582977 82.671619) (xy 130.949401 82.671619) (xy 130.94168 82.660064) - (xy 130.840418 82.558802) (xy 130.721346 82.479241) (xy 130.58904 82.424438) (xy 130.448585 82.3965) (xy 130.305379 82.3965) - (xy 130.164924 82.424438) (xy 130.032618 82.479241) (xy 129.913546 82.558802) (xy 129.875848 82.5965) (xy 128.558148 82.5965) - (xy 128.550446 82.588798) (xy 128.431374 82.509237) (xy 128.299068 82.454434) (xy 128.158613 82.426496) (xy 128.015407 82.426496) - (xy 127.874952 82.454434) (xy 127.742646 82.509237) (xy 127.623574 82.588798) (xy 127.522312 82.69006) (xy 127.442751 82.809132) - (xy 127.387948 82.941438) (xy 127.36001 83.081893) (xy 127.071 83.081893) (xy 127.071 80.714261) (xy 133.513123 80.714261) - (xy 133.516 80.73775) (xy 133.67475 80.8965) (xy 134.278 80.8965) (xy 134.278 80.22225) (xy 134.11925 80.0635) - (xy 134.011098 80.075954) (xy 133.892334 80.11521) (xy 133.78351 80.176881) (xy 133.688808 80.258597) (xy 133.611868 80.357219) - (xy 133.555647 80.468956) (xy 133.522305 80.589514) (xy 133.513123 80.714261) (xy 127.071 80.714261) (xy 127.071 79.587609) - (xy 127.09838 79.308366) (xy 127.173055 79.061027) (xy 127.294351 78.832903) (xy 127.457648 78.632681) (xy 127.656721 78.467993) - (xy 127.883994 78.345107) (xy 128.130806 78.268706) (xy 128.408686 78.2395) (xy 134.724709 78.2395) - ) - ) - (filled_polygon - (pts - (xy 132.696 97.30979) (xy 132.696001 100.748609) (xy 132.693451 100.7745) (xy 132.703626 100.877809) (xy 132.733761 100.977149) - (xy 132.782696 101.068701) (xy 132.791061 101.078894) (xy 132.848553 101.148948) (xy 132.868661 101.16545) (xy 133.565053 101.861844) - (xy 133.581552 101.881948) (xy 133.661798 101.947804) (xy 133.75335 101.996739) (xy 133.85269 102.026874) (xy 133.927693 102.034261) - (xy 133.956 102.037049) (xy 133.981881 102.0345) (xy 137.126001 102.0345) (xy 137.126 107.259434) (xy 136.803 107.259434) - (xy 136.719293 107.267678) (xy 136.638804 107.292095) (xy 136.564624 107.331745) (xy 136.499605 107.385105) (xy 136.446245 107.450124) - (xy 136.426802 107.4865) (xy 136.398134 107.4865) (xy 136.375134 107.4635) (xy 136.431698 107.406936) (xy 136.511259 107.287864) - (xy 136.566062 107.155558) (xy 136.594 107.015103) (xy 136.594 106.871897) (xy 136.566062 106.731442) (xy 136.511259 106.599136) - (xy 136.431698 106.480064) (xy 136.330436 106.378802) (xy 136.211364 106.299241) (xy 136.079058 106.244438) (xy 135.938603 106.2165) - (xy 135.795397 106.2165) (xy 135.654942 106.244438) (xy 135.522636 106.299241) (xy 135.403564 106.378802) (xy 135.365866 106.4165) - (xy 134.65729 106.4165) (xy 133.105955 104.865166) (xy 133.089448 104.845052) (xy 133.009202 104.779196) (xy 132.91765 104.730261) - (xy 132.81831 104.700126) (xy 132.740881 104.6925) (xy 132.715 104.689951) (xy 132.689119 104.6925) (xy 130.39329 104.6925) - (xy 130.194 104.493211) (xy 130.194 103.931122) (xy 130.257293 103.950322) (xy 130.341 103.958566) (xy 132.041 103.958566) - (xy 132.124707 103.950322) (xy 132.205196 103.925905) (xy 132.279376 103.886255) (xy 132.284686 103.881897) (xy 135.43 103.881897) - (xy 135.43 104.025103) (xy 135.457938 104.165558) (xy 135.512741 104.297864) (xy 135.592302 104.416936) (xy 135.693564 104.518198) - (xy 135.812636 104.597759) (xy 135.944942 104.652562) (xy 136.085397 104.6805) (xy 136.228603 104.6805) (xy 136.369058 104.652562) - (xy 136.501364 104.597759) (xy 136.620436 104.518198) (xy 136.721698 104.416936) (xy 136.801259 104.297864) (xy 136.856062 104.165558) - (xy 136.884 104.025103) (xy 136.884 103.881897) (xy 136.856062 103.741442) (xy 136.801259 103.609136) (xy 136.721698 103.490064) - (xy 136.620436 103.388802) (xy 136.501364 103.309241) (xy 136.369058 103.254438) (xy 136.228603 103.2265) (xy 136.085397 103.2265) - (xy 135.944942 103.254438) (xy 135.812636 103.309241) (xy 135.693564 103.388802) (xy 135.592302 103.490064) (xy 135.512741 103.609136) - (xy 135.457938 103.741442) (xy 135.43 103.881897) (xy 132.284686 103.881897) (xy 132.344395 103.832895) (xy 132.397755 103.767876) - (xy 132.437405 103.693696) (xy 132.461822 103.613207) (xy 132.470066 103.5295) (xy 132.470066 101.8295) (xy 132.461822 101.745793) - (xy 132.437405 101.665304) (xy 132.397755 101.591124) (xy 132.344395 101.526105) (xy 132.279376 101.472745) (xy 132.205196 101.433095) - (xy 132.124707 101.408678) (xy 132.041 101.400434) (xy 131.961965 101.400434) (xy 132.072355 101.334678) (xy 132.288588 101.139769) - (xy 132.462641 100.90642) (xy 132.587825 100.643599) (xy 132.632476 100.49639) (xy 132.511155 100.2665) (xy 131.318 100.2665) - (xy 131.318 100.2865) (xy 131.064 100.2865) (xy 131.064 100.2665) (xy 131.044 100.2665) (xy 131.044 100.0125) - (xy 131.064 100.0125) (xy 131.064 99.9925) (xy 131.318 99.9925) (xy 131.318 100.0125) (xy 132.511155 100.0125) - (xy 132.632476 99.78261) (xy 132.587825 99.635401) (xy 132.462641 99.37258) (xy 132.288588 99.139231) (xy 132.072355 98.944322) - (xy 131.822252 98.795343) (xy 131.724584 98.760697) (xy 131.795886 98.731163) (xy 132.00504 98.591411) (xy 132.182911 98.41354) - (xy 132.322663 98.204386) (xy 132.418926 97.971987) (xy 132.468 97.725274) (xy 132.468 97.473726) (xy 132.418926 97.227013) - (xy 132.322663 96.994614) (xy 132.205546 96.819336) - ) - ) - (filled_polygon - (pts - (xy 154.430001 82.521791) (xy 154.43 86.954617) (xy 154.427451 86.980498) (xy 154.43 87.006378) (xy 154.437626 87.083807) - (xy 154.467761 87.183147) (xy 154.516696 87.2747) (xy 154.582552 87.354946) (xy 154.602666 87.371453) (xy 155.806368 88.575156) - (xy 155.756624 88.601745) (xy 155.691605 88.655105) (xy 155.638245 88.720124) (xy 155.598595 88.794304) (xy 155.574178 88.874793) - (xy 155.565934 88.9585) (xy 155.565934 89.167276) (xy 155.022666 89.710545) (xy 155.002552 89.727052) (xy 154.936696 89.807298) - (xy 154.894781 89.885718) (xy 154.887761 89.898851) (xy 154.857626 89.998191) (xy 154.847451 90.1015) (xy 154.85 90.127381) - (xy 154.850001 91.830874) (xy 154.847451 91.856764) (xy 154.857626 91.960073) (xy 154.887761 92.059413) (xy 154.936696 92.150965) - (xy 154.939596 92.154499) (xy 155.002553 92.231212) (xy 155.022662 92.247715) (xy 156.410785 93.635839) (xy 156.427288 93.655948) - (xy 156.499301 93.715047) (xy 156.507534 93.721804) (xy 156.599086 93.770739) (xy 156.637856 93.7825) (xy 156.465226 93.7825) - (xy 156.218513 93.831574) (xy 155.986114 93.927837) (xy 155.77696 94.067589) (xy 155.599089 94.24546) (xy 155.459337 94.454614) - (xy 155.363074 94.687013) (xy 155.314 94.933726) (xy 155.314 95.185274) (xy 155.363074 95.431987) (xy 155.459337 95.664386) - (xy 155.599089 95.87354) (xy 155.77696 96.051411) (xy 155.986114 96.191163) (xy 156.218513 96.287426) (xy 156.430034 96.3295) - (xy 156.218513 96.371574) (xy 155.986114 96.467837) (xy 155.77696 96.607589) (xy 155.599089 96.78546) (xy 155.459337 96.994614) - (xy 155.363074 97.227013) (xy 155.314 97.473726) (xy 155.314 97.725274) (xy 155.363074 97.971987) (xy 155.459337 98.204386) - (xy 155.599089 98.41354) (xy 155.77696 98.591411) (xy 155.986114 98.731163) (xy 156.057416 98.760697) (xy 155.959748 98.795343) - (xy 155.709645 98.944322) (xy 155.493412 99.139231) (xy 155.319359 99.37258) (xy 155.194175 99.635401) (xy 155.149524 99.78261) - (xy 155.270845 100.0125) (xy 156.464 100.0125) (xy 156.464 99.9925) (xy 156.718 99.9925) (xy 156.718 100.0125) - (xy 156.738 100.0125) (xy 156.738 100.2665) (xy 156.718 100.2665) (xy 156.718 100.2865) (xy 156.464 100.2865) - (xy 156.464 100.2665) (xy 155.270845 100.2665) (xy 155.149524 100.49639) (xy 155.194175 100.643599) (xy 155.319359 100.90642) - (xy 155.493412 101.139769) (xy 155.709645 101.334678) (xy 155.820035 101.400434) (xy 155.741 101.400434) (xy 155.657293 101.408678) - (xy 155.576804 101.433095) (xy 155.502624 101.472745) (xy 155.437605 101.526105) (xy 155.384245 101.591124) (xy 155.344595 101.665304) - (xy 155.320178 101.745793) (xy 155.311934 101.8295) (xy 155.311934 102.179144) (xy 155.095823 101.963034) (xy 155.171931 101.886926) - (xy 155.251492 101.767854) (xy 155.306295 101.635548) (xy 155.334233 101.495093) (xy 155.334233 101.351887) (xy 155.306295 101.211432) - (xy 155.251492 101.079126) (xy 155.171931 100.960054) (xy 155.070669 100.858792) (xy 154.951597 100.779231) (xy 154.907936 100.761146) - (xy 154.800066 100.653276) (xy 154.800066 100.5145) (xy 154.789228 100.404456) (xy 154.757129 100.298641) (xy 154.705004 100.201122) - (xy 154.654432 100.1395) (xy 154.705004 100.077878) (xy 154.757129 99.980359) (xy 154.789228 99.874544) (xy 154.800066 99.7645) - (xy 154.800066 99.4945) (xy 154.789228 99.384456) (xy 154.757129 99.278641) (xy 154.705004 99.181122) (xy 154.651823 99.116321) - (xy 154.664 99.055103) (xy 154.664 98.911897) (xy 154.636062 98.771442) (xy 154.581259 98.639136) (xy 154.501698 98.520064) - (xy 154.400436 98.418802) (xy 154.281364 98.339241) (xy 154.149058 98.284438) (xy 154.008603 98.2565) (xy 153.865397 98.2565) - (xy 153.724942 98.284438) (xy 153.592636 98.339241) (xy 153.473564 98.418802) (xy 153.372302 98.520064) (xy 153.292741 98.639136) - (xy 153.237938 98.771442) (xy 153.21 98.911897) (xy 153.21 99.055103) (xy 153.237938 99.195558) (xy 153.292741 99.327864) - (xy 153.318324 99.366152) (xy 153.312772 99.384456) (xy 153.301934 99.4945) (xy 153.301934 99.7645) (xy 153.312772 99.874544) - (xy 153.344871 99.980359) (xy 153.396996 100.077878) (xy 153.447568 100.1395) (xy 153.396996 100.201122) (xy 153.344871 100.298641) - (xy 153.312772 100.404456) (xy 153.301934 100.5145) (xy 153.301934 100.7845) (xy 153.312347 100.890229) (xy 153.306086 100.89649) - (xy 152.958134 100.89649) (xy 152.920436 100.858792) (xy 152.801364 100.779231) (xy 152.669058 100.724428) (xy 152.528603 100.69649) - (xy 152.385397 100.69649) (xy 152.244942 100.724428) (xy 152.112636 100.779231) (xy 151.993564 100.858792) (xy 151.892302 100.960054) - (xy 151.812741 101.079126) (xy 151.757938 101.211432) (xy 151.73 101.351887) (xy 151.73 101.495093) (xy 151.730278 101.496491) - (xy 151.672889 101.496491) (xy 151.647008 101.493942) (xy 151.58617 101.499934) (xy 151.543699 101.504117) (xy 151.49568 101.518684) - (xy 151.540428 101.410652) (xy 151.568366 101.270197) (xy 151.568366 101.126991) (xy 151.540428 100.986536) (xy 151.485625 100.85423) - (xy 151.406064 100.735158) (xy 151.304802 100.633896) (xy 151.18573 100.554335) (xy 151.154066 100.541219) (xy 151.154066 100.0025) - (xy 151.145822 99.918793) (xy 151.121405 99.838304) (xy 151.081755 99.764124) (xy 151.028395 99.699105) (xy 150.963376 99.645745) - (xy 150.889196 99.606095) (xy 150.808707 99.581678) (xy 150.725 99.573434) (xy 150.402 99.573434) (xy 150.402 99.27875) - (xy 150.452 99.22875) (xy 150.452 98.953) (xy 150.471405 98.916696) (xy 150.495822 98.836207) (xy 150.504066 98.7525) - (xy 150.504066 98.5545) (xy 150.598 98.5545) (xy 150.598 99.22875) (xy 150.75675 99.3875) (xy 150.864902 99.375046) - (xy 150.983666 99.33579) (xy 151.09249 99.274119) (xy 151.187192 99.192403) (xy 151.264132 99.093781) (xy 151.320353 98.982044) - (xy 151.353695 98.861486) (xy 151.362877 98.736739) (xy 151.36 98.71325) (xy 151.20125 98.5545) (xy 150.598 98.5545) - (xy 150.504066 98.5545) (xy 150.504066 98.2805) (xy 150.598 98.2805) (xy 150.598 98.3005) (xy 151.20125 98.3005) - (xy 151.36 98.14175) (xy 151.362877 98.118261) (xy 151.353695 97.993514) (xy 151.330723 97.910451) (xy 151.361364 97.897759) - (xy 151.480436 97.818198) (xy 151.518134 97.7805) (xy 153.75132 97.7805) (xy 153.762302 97.796936) (xy 153.863564 97.898198) - (xy 153.982636 97.977759) (xy 154.114942 98.032562) (xy 154.255397 98.0605) (xy 154.398603 98.0605) (xy 154.539058 98.032562) - (xy 154.671364 97.977759) (xy 154.790436 97.898198) (xy 154.891698 97.796936) (xy 154.971259 97.677864) (xy 155.026062 97.545558) - (xy 155.054 97.405103) (xy 155.054 97.261897) (xy 155.026062 97.121442) (xy 154.971259 96.989136) (xy 154.891698 96.870064) - (xy 154.807652 96.786018) (xy 154.824293 96.745842) (xy 154.852231 96.605387) (xy 154.852231 96.462181) (xy 154.824293 96.321726) - (xy 154.76949 96.18942) (xy 154.689929 96.070348) (xy 154.588667 95.969086) (xy 154.469595 95.889525) (xy 154.337289 95.834722) - (xy 154.196834 95.806784) (xy 154.053628 95.806784) (xy 153.913173 95.834722) (xy 153.780867 95.889525) (xy 153.691784 95.949048) - (xy 152.179038 95.949048) (xy 152.14134 95.91135) (xy 152.022268 95.831789) (xy 151.889962 95.776986) (xy 151.749507 95.749048) - (xy 151.606301 95.749048) (xy 151.465846 95.776986) (xy 151.33354 95.831789) (xy 151.214468 95.91135) (xy 151.113206 96.012612) - (xy 151.033645 96.131684) (xy 150.978842 96.26399) (xy 150.950904 96.404445) (xy 150.950904 96.5265) (xy 150.945397 96.5265) - (xy 150.804942 96.554438) (xy 150.672636 96.609241) (xy 150.553564 96.688802) (xy 150.452302 96.790064) (xy 150.372741 96.909136) - (xy 150.317938 97.041442) (xy 150.29 97.181897) (xy 150.29 97.325103) (xy 150.317938 97.465558) (xy 150.336768 97.511018) - (xy 150.29325 97.4675) (xy 150.196439 97.478648) (xy 149.783212 97.065422) (xy 149.821698 97.026936) (xy 149.901259 96.907864) - (xy 149.956062 96.775558) (xy 149.984 96.635103) (xy 149.984 96.491897) (xy 149.956062 96.351442) (xy 149.901259 96.219136) - (xy 149.821698 96.100064) (xy 149.720436 95.998802) (xy 149.601364 95.919241) (xy 149.469058 95.864438) (xy 149.328603 95.8365) - (xy 149.185397 95.8365) (xy 149.044942 95.864438) (xy 148.912636 95.919241) (xy 148.793564 95.998802) (xy 148.755866 96.0365) - (xy 148.293188 96.0365) (xy 148.283807 96.030232) (xy 148.151501 95.975429) (xy 148.011046 95.947491) (xy 147.86784 95.947491) - (xy 147.727385 95.975429) (xy 147.595079 96.030232) (xy 147.476007 96.109793) (xy 147.374745 96.211055) (xy 147.295184 96.330127) - (xy 147.240381 96.462433) (xy 147.212443 96.602888) (xy 147.212443 96.746094) (xy 147.212723 96.747501) (xy 144.266066 96.747501) - (xy 144.266066 96.3245) (xy 144.257822 96.240793) (xy 144.233405 96.160304) (xy 144.214 96.124) (xy 144.214 95.84825) - (xy 144.36 95.84825) (xy 144.36 96.5225) (xy 144.96325 96.5225) (xy 145.122 96.36375) (xy 145.124877 96.340261) - (xy 145.115695 96.215514) (xy 145.082353 96.094956) (xy 145.026132 95.983219) (xy 144.949192 95.884597) (xy 144.85449 95.802881) - (xy 144.745666 95.74121) (xy 144.626902 95.701954) (xy 144.51875 95.6895) (xy 144.36 95.84825) (xy 144.214 95.84825) - (xy 144.164 95.79825) (xy 144.164 95.521376) (xy 144.166549 95.495495) (xy 144.156374 95.392186) (xy 144.126239 95.292846) - (xy 144.118998 95.279299) (xy 144.077304 95.201294) (xy 144.011448 95.121048) (xy 143.991334 95.104541) (xy 143.91 95.023207) - (xy 143.91 92.73022) (xy 143.911407 92.7305) (xy 144.054613 92.7305) (xy 144.195068 92.702562) (xy 144.327374 92.647759) - (xy 144.446446 92.568198) (xy 144.547708 92.466936) (xy 144.627269 92.347864) (xy 144.682072 92.215558) (xy 144.71001 92.075103) - (xy 144.71001 91.931897) (xy 144.682072 91.791442) (xy 144.627269 91.659136) (xy 144.56 91.55846) (xy 144.56 91.275132) - (xy 144.589755 91.238876) (xy 144.609198 91.2025) (xy 144.622514 91.2025) (xy 145.474886 92.054873) (xy 145.474886 92.108186) - (xy 145.502824 92.248641) (xy 145.557627 92.380947) (xy 145.637188 92.500019) (xy 145.73845 92.601281) (xy 145.857522 92.680842) - (xy 145.989828 92.735645) (xy 146.130283 92.763583) (xy 146.273489 92.763583) (xy 146.413944 92.735645) (xy 146.54625 92.680842) - (xy 146.665322 92.601281) (xy 146.766584 92.500019) (xy 146.846145 92.380947) (xy 146.900948 92.248641) (xy 146.928886 92.108186) - (xy 146.928886 91.96498) (xy 146.900948 91.824525) (xy 146.846145 91.692219) (xy 146.805854 91.631918) (xy 146.882902 91.623046) - (xy 147.001666 91.58379) (xy 147.11049 91.522119) (xy 147.205192 91.440403) (xy 147.213646 91.429566) (xy 147.393 91.429566) - (xy 147.476707 91.421322) (xy 147.518 91.408795) (xy 147.559293 91.421322) (xy 147.643 91.429566) (xy 148.043 91.429566) - (xy 148.126707 91.421322) (xy 148.207196 91.396905) (xy 148.281376 91.357255) (xy 148.346395 91.303895) (xy 148.399755 91.238876) - (xy 148.419198 91.2025) (xy 149.770711 91.2025) (xy 150.81 92.241791) (xy 150.81 92.295103) (xy 150.837938 92.435558) - (xy 150.892741 92.567864) (xy 150.972302 92.686936) (xy 151.073564 92.788198) (xy 151.192636 92.867759) (xy 151.324942 92.922562) - (xy 151.465397 92.9505) (xy 151.608603 92.9505) (xy 151.749058 92.922562) (xy 151.881364 92.867759) (xy 152.000436 92.788198) - (xy 152.101698 92.686936) (xy 152.181259 92.567864) (xy 152.236062 92.435558) (xy 152.264 92.295103) (xy 152.264 92.151897) - (xy 152.236062 92.011442) (xy 152.181259 91.879136) (xy 152.101698 91.760064) (xy 152.000436 91.658802) (xy 151.881364 91.579241) - (xy 151.749058 91.524438) (xy 151.608603 91.4965) (xy 151.555291 91.4965) (xy 150.379955 90.321166) (xy 150.363448 90.301052) - (xy 150.283202 90.235196) (xy 150.19165 90.186261) (xy 150.09231 90.156126) (xy 150.014881 90.1485) (xy 149.989 90.145951) - (xy 149.963119 90.1485) (xy 148.419198 90.1485) (xy 148.399755 90.112124) (xy 148.346395 90.047105) (xy 148.281376 89.993745) - (xy 148.207196 89.954095) (xy 148.126707 89.929678) (xy 148.043 89.921434) (xy 147.66812 89.921434) (xy 147.633304 89.856298) - (xy 147.567448 89.776052) (xy 147.547339 89.759549) (xy 147.109129 89.321339) (xy 147.139405 89.264696) (xy 147.163822 89.184207) - (xy 147.172066 89.1005) (xy 147.172066 88.891724) (xy 147.213934 88.849856) (xy 147.213934 89.1005) (xy 147.222178 89.184207) - (xy 147.246595 89.264696) (xy 147.286245 89.338876) (xy 147.339605 89.403895) (xy 147.404624 89.457255) (xy 147.478804 89.496905) - (xy 147.559293 89.521322) (xy 147.643 89.529566) (xy 148.043 89.529566) (xy 148.126707 89.521322) (xy 148.207196 89.496905) - (xy 148.281376 89.457255) (xy 148.346395 89.403895) (xy 148.399755 89.338876) (xy 148.419198 89.3025) (xy 148.464082 89.3025) - (xy 148.584942 89.352562) (xy 148.725397 89.3805) (xy 148.868603 89.3805) (xy 149.009058 89.352562) (xy 149.141364 89.297759) - (xy 149.260436 89.218198) (xy 149.361698 89.116936) (xy 149.441259 88.997864) (xy 149.496062 88.865558) (xy 149.524 88.725103) - (xy 149.524 88.581897) (xy 149.496062 88.441442) (xy 149.441259 88.309136) (xy 149.361698 88.190064) (xy 149.260436 88.088802) - (xy 149.141364 88.009241) (xy 149.009058 87.954438) (xy 148.868603 87.9265) (xy 148.725397 87.9265) (xy 148.584942 87.954438) - (xy 148.452636 88.009241) (xy 148.333564 88.088802) (xy 148.307325 88.115041) (xy 148.281376 88.093745) (xy 148.207196 88.054095) - (xy 148.126707 88.029678) (xy 148.043 88.021434) (xy 147.714786 88.021434) (xy 147.724 87.975113) (xy 147.724 87.831907) - (xy 147.696062 87.691452) (xy 147.641259 87.559146) (xy 147.561698 87.440074) (xy 147.483521 87.361897) (xy 149.41 87.361897) - (xy 149.41 87.505103) (xy 149.437938 87.645558) (xy 149.492741 87.777864) (xy 149.572302 87.896936) (xy 149.673564 87.998198) - (xy 149.792636 88.077759) (xy 149.924942 88.132562) (xy 150.065397 88.1605) (xy 150.208603 88.1605) (xy 150.349058 88.132562) - (xy 150.481364 88.077759) (xy 150.600436 87.998198) (xy 150.638134 87.9605) (xy 150.875866 87.9605) (xy 150.953564 88.038198) - (xy 151.072636 88.117759) (xy 151.204942 88.172562) (xy 151.345397 88.2005) (xy 151.488603 88.2005) (xy 151.629058 88.172562) - (xy 151.761364 88.117759) (xy 151.880436 88.038198) (xy 151.981698 87.936936) (xy 152.061259 87.817864) (xy 152.116062 87.685558) - (xy 152.144 87.545103) (xy 152.144 87.401897) (xy 152.116062 87.261442) (xy 152.061259 87.129136) (xy 151.981698 87.010064) - (xy 151.880436 86.908802) (xy 151.761364 86.829241) (xy 151.629058 86.774438) (xy 151.488603 86.7465) (xy 151.345397 86.7465) - (xy 151.204942 86.774438) (xy 151.072636 86.829241) (xy 150.957009 86.9065) (xy 150.638134 86.9065) (xy 150.600436 86.868802) - (xy 150.481364 86.789241) (xy 150.349058 86.734438) (xy 150.208603 86.7065) (xy 150.065397 86.7065) (xy 149.924942 86.734438) - (xy 149.792636 86.789241) (xy 149.673564 86.868802) (xy 149.572302 86.970064) (xy 149.492741 87.089136) (xy 149.437938 87.221442) - (xy 149.41 87.361897) (xy 147.483521 87.361897) (xy 147.460436 87.338812) (xy 147.341364 87.259251) (xy 147.209058 87.204448) - (xy 147.068603 87.17651) (xy 146.925397 87.17651) (xy 146.784942 87.204448) (xy 146.652636 87.259251) (xy 146.533564 87.338812) - (xy 146.432302 87.440074) (xy 146.352741 87.559146) (xy 146.297938 87.691452) (xy 146.27 87.831907) (xy 146.27 87.975113) - (xy 146.280439 88.027595) (xy 146.259293 88.029678) (xy 146.178804 88.054095) (xy 146.104624 88.093745) (xy 146.039605 88.147105) - (xy 145.986245 88.212124) (xy 145.946595 88.286304) (xy 145.922178 88.366793) (xy 145.913934 88.4505) (xy 145.913934 89.0005) - (xy 145.338134 89.0005) (xy 145.421698 88.916936) (xy 145.501259 88.797864) (xy 145.556062 88.665558) (xy 145.584 88.525103) - (xy 145.584 88.381897) (xy 145.556062 88.241442) (xy 145.501259 88.109136) (xy 145.421698 87.990064) (xy 145.320436 87.888802) - (xy 145.201364 87.809241) (xy 145.069058 87.754438) (xy 144.928603 87.7265) (xy 144.785397 87.7265) (xy 144.644942 87.754438) - (xy 144.512636 87.809241) (xy 144.393564 87.888802) (xy 144.292302 87.990064) (xy 144.268974 88.024977) (xy 144.233 88.021434) - (xy 143.91 88.021434) (xy 143.91 83.861789) (xy 144.626049 84.577839) (xy 144.642552 84.597948) (xy 144.722798 84.663804) - (xy 144.81435 84.712739) (xy 144.91369 84.742874) (xy 144.991119 84.7505) (xy 144.991121 84.7505) (xy 145.016999 84.753049) - (xy 145.042877 84.7505) (xy 150.478711 84.7505) (xy 150.71 84.98179) (xy 150.71 85.035103) (xy 150.737938 85.175558) - (xy 150.792741 85.307864) (xy 150.872302 85.426936) (xy 150.973564 85.528198) (xy 151.092636 85.607759) (xy 151.224942 85.662562) - (xy 151.365397 85.6905) (xy 151.508603 85.6905) (xy 151.649058 85.662562) (xy 151.781364 85.607759) (xy 151.900436 85.528198) - (xy 152.001698 85.426936) (xy 152.081259 85.307864) (xy 152.136062 85.175558) (xy 152.164 85.035103) (xy 152.164 84.891897) - (xy 152.136062 84.751442) (xy 152.081259 84.619136) (xy 152.001698 84.500064) (xy 151.900436 84.398802) (xy 151.781364 84.319241) - (xy 151.649058 84.264438) (xy 151.508603 84.2365) (xy 151.45529 84.2365) (xy 151.087955 83.869166) (xy 151.071448 83.849052) - (xy 150.991202 83.783196) (xy 150.94232 83.757069) (xy 151.061364 83.707759) (xy 151.180436 83.628198) (xy 151.281698 83.526936) - (xy 151.361259 83.407864) (xy 151.414004 83.280526) (xy 151.424844 83.287769) (xy 151.55715 83.342572) (xy 151.697605 83.37051) - (xy 151.840811 83.37051) (xy 151.981266 83.342572) (xy 152.113572 83.287769) (xy 152.232644 83.208208) (xy 152.270342 83.17051) - (xy 153.181109 83.17051) (xy 153.20699 83.173059) (xy 153.232871 83.17051) (xy 153.3103 83.162884) (xy 153.40964 83.132749) - (xy 153.488683 83.0905) (xy 153.558603 83.0905) (xy 153.699058 83.062562) (xy 153.831364 83.007759) (xy 153.950436 82.928198) - (xy 154.051698 82.826936) (xy 154.131259 82.707864) (xy 154.186062 82.575558) (xy 154.214 82.435103) (xy 154.214 82.30579) - ) - ) - (filled_polygon - (pts - (xy 139.049998 91.5865) (xy 138.99425 91.5865) (xy 139.049998 91.530752) - ) - ) - (filled_polygon - (pts - (xy 156.318 90.33225) (xy 156.268 90.38225) (xy 156.268 90.658) (xy 156.248595 90.694304) (xy 156.224178 90.774793) - (xy 156.215934 90.8585) (xy 156.215934 91.3305) (xy 156.122 91.3305) (xy 156.122 91.3105) (xy 156.048 91.3105) - (xy 156.048 91.0565) (xy 156.122 91.0565) (xy 156.122 90.38225) (xy 155.98177 90.24202) (xy 156.186224 90.037566) - (xy 156.318 90.037566) - ) - ) - (filled_polygon - (pts - (xy 145.747647 90.120956) (xy 145.714305 90.241514) (xy 145.705123 90.366261) (xy 145.708 90.38975) (xy 145.86675 90.5485) - (xy 146.47 90.5485) (xy 146.47 90.5285) (xy 146.563934 90.5285) (xy 146.563934 90.8225) (xy 146.47 90.8225) - (xy 146.47 90.8025) (xy 145.86675 90.8025) (xy 145.789921 90.879329) (xy 145.231758 90.321166) (xy 145.215251 90.301052) - (xy 145.135005 90.235196) (xy 145.043453 90.186261) (xy 144.944113 90.156126) (xy 144.866684 90.1485) (xy 144.840803 90.145951) - (xy 144.814922 90.1485) (xy 144.609198 90.1485) (xy 144.589755 90.112124) (xy 144.542464 90.0545) (xy 145.781085 90.0545) - ) - ) - (filled_polygon - (pts - (xy 150.082636 80.087759) (xy 150.214942 80.142562) (xy 150.355397 80.1705) (xy 150.498603 80.1705) (xy 150.639058 80.142562) - (xy 150.748032 80.097423) (xy 150.750804 80.098905) (xy 150.831293 80.123322) (xy 150.915 80.131566) (xy 151.238 80.131566) - (xy 151.238 80.42625) (xy 151.188 80.47625) (xy 151.188 80.752) (xy 151.168595 80.788304) (xy 151.144178 80.868793) - (xy 151.135934 80.9525) (xy 151.135934 81.4245) (xy 151.042 81.4245) (xy 151.042 81.4045) (xy 150.43875 81.4045) - (xy 150.28 81.56325) (xy 150.277123 81.586739) (xy 150.286305 81.711486) (xy 150.310322 81.798326) (xy 150.288636 81.82475) - (xy 150.276696 81.839299) (xy 150.227761 81.930851) (xy 150.197626 82.030191) (xy 150.187451 82.1335) (xy 150.190001 82.15939) - (xy 150.190001 82.562365) (xy 150.152302 82.600064) (xy 150.072741 82.719136) (xy 150.017938 82.851442) (xy 149.99 82.991897) - (xy 149.99 83.135103) (xy 150.017938 83.275558) (xy 150.072741 83.407864) (xy 150.152302 83.526936) (xy 150.253564 83.628198) - (xy 150.355786 83.6965) (xy 146.477864 83.6965) (xy 146.504 83.565103) (xy 146.504 83.561769) (xy 146.517 83.563049) - (xy 146.542881 83.5605) (xy 146.62031 83.552874) (xy 146.71965 83.522739) (xy 146.811202 83.473804) (xy 146.891448 83.407948) - (xy 146.907955 83.387834) (xy 146.933223 83.362566) (xy 147.072 83.362566) (xy 147.182044 83.351728) (xy 147.287859 83.319629) - (xy 147.385378 83.267504) (xy 147.447 83.216932) (xy 147.508622 83.267504) (xy 147.606141 83.319629) (xy 147.711956 83.351728) - (xy 147.822 83.362566) (xy 148.092 83.362566) (xy 148.202044 83.351728) (xy 148.240901 83.339941) (xy 148.272302 83.386936) - (xy 148.373564 83.488198) (xy 148.492636 83.567759) (xy 148.624942 83.622562) (xy 148.765397 83.6505) (xy 148.908603 83.6505) - (xy 149.049058 83.622562) (xy 149.181364 83.567759) (xy 149.300436 83.488198) (xy 149.401698 83.386936) (xy 149.481259 83.267864) - (xy 149.536062 83.135558) (xy 149.564 82.995103) (xy 149.564 82.94179) (xy 149.841344 82.664447) (xy 149.861448 82.647948) - (xy 149.927304 82.567702) (xy 149.976239 82.47615) (xy 150.006374 82.37681) (xy 150.014 82.299381) (xy 150.016549 82.2735) - (xy 150.014 82.247619) (xy 150.014 80.968261) (xy 150.277123 80.968261) (xy 150.28 80.99175) (xy 150.43875 81.1505) - (xy 151.042 81.1505) (xy 151.042 80.47625) (xy 150.88325 80.3175) (xy 150.775098 80.329954) (xy 150.656334 80.36921) - (xy 150.54751 80.430881) (xy 150.452808 80.512597) (xy 150.375868 80.611219) (xy 150.319647 80.722956) (xy 150.286305 80.843514) - (xy 150.277123 80.968261) (xy 150.014 80.968261) (xy 150.014 80.041898) - ) - ) - (filled_polygon - (pts - (xy 146.309934 81.1705) (xy 146.216 81.1705) (xy 146.216 81.1505) (xy 146.142 81.1505) (xy 146.142 80.8965) - (xy 146.216 80.8965) (xy 146.216 80.8765) (xy 146.309934 80.8765) - ) - ) - ) - (zone (net 2) (net_name VCC) (layer In1.Cu) (tstamp 617F3B56) (hatch edge 0.508) - (connect_pads (clearance 0.3)) - (min_thickness 0.254) - (fill yes (arc_segments 32) (thermal_gap 0.3) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 160.909 77.7875) (xy 160.909 119.9515) (xy 126.619 119.9515) (xy 126.619 77.7875) - ) - ) - (filled_polygon - (pts - (xy 152.662302 78.314054) (xy 152.582741 78.433126) (xy 152.527938 78.565432) (xy 152.5 78.705887) (xy 152.5 78.849093) - (xy 152.527938 78.989548) (xy 152.582741 79.121854) (xy 152.662302 79.240926) (xy 152.763564 79.342188) (xy 152.882636 79.421749) - (xy 153.014942 79.476552) (xy 153.155397 79.50449) (xy 153.298603 79.50449) (xy 153.439058 79.476552) (xy 153.571364 79.421749) - (xy 153.690436 79.342188) (xy 153.770727 79.261897) (xy 157.12 79.261897) (xy 157.12 79.405103) (xy 157.147938 79.545558) - (xy 157.202741 79.677864) (xy 157.282302 79.796936) (xy 157.383564 79.898198) (xy 157.502636 79.977759) (xy 157.634942 80.032562) - (xy 157.775397 80.0605) (xy 157.918603 80.0605) (xy 158.059058 80.032562) (xy 158.191364 79.977759) (xy 158.310436 79.898198) - (xy 158.411698 79.796936) (xy 158.491259 79.677864) (xy 158.546062 79.545558) (xy 158.574 79.405103) (xy 158.574 79.261897) - (xy 158.546062 79.121442) (xy 158.491259 78.989136) (xy 158.411698 78.870064) (xy 158.310436 78.768802) (xy 158.191364 78.689241) - (xy 158.059058 78.634438) (xy 157.918603 78.6065) (xy 157.775397 78.6065) (xy 157.634942 78.634438) (xy 157.502636 78.689241) - (xy 157.383564 78.768802) (xy 157.282302 78.870064) (xy 157.202741 78.989136) (xy 157.147938 79.121442) (xy 157.12 79.261897) - (xy 153.770727 79.261897) (xy 153.791698 79.240926) (xy 153.871259 79.121854) (xy 153.926062 78.989548) (xy 153.954 78.849093) - (xy 153.954 78.705887) (xy 153.926062 78.565432) (xy 153.871259 78.433126) (xy 153.791698 78.314054) (xy 153.717144 78.2395) - (xy 159.108891 78.2395) (xy 159.388134 78.26688) (xy 159.635473 78.341555) (xy 159.863597 78.462851) (xy 160.063819 78.626148) - (xy 160.228507 78.825221) (xy 160.351393 79.052494) (xy 160.427794 79.299306) (xy 160.457001 79.577195) (xy 160.457 118.151391) - (xy 160.42962 118.430633) (xy 160.354943 118.677977) (xy 160.233649 118.906097) (xy 160.070352 119.106319) (xy 159.871279 119.271007) - (xy 159.644008 119.393892) (xy 159.397194 119.470294) (xy 159.119314 119.4995) (xy 128.419109 119.4995) (xy 128.139867 119.47212) - (xy 127.892523 119.397443) (xy 127.664403 119.276149) (xy 127.464181 119.112852) (xy 127.299493 118.913779) (xy 127.176608 118.686508) - (xy 127.100206 118.439694) (xy 127.071 118.161814) (xy 127.071 115.878358) (xy 128.402 115.878358) (xy 128.402 116.277642) - (xy 128.479896 116.669254) (xy 128.632696 117.038145) (xy 128.854526 117.370137) (xy 129.136863 117.652474) (xy 129.468855 117.874304) - (xy 129.837746 118.027104) (xy 130.229358 118.105) (xy 130.628642 118.105) (xy 131.020254 118.027104) (xy 131.389145 117.874304) - (xy 131.721137 117.652474) (xy 132.003474 117.370137) (xy 132.225304 117.038145) (xy 132.378104 116.669254) (xy 132.456 116.277642) - (xy 132.456 115.878358) (xy 132.378104 115.486746) (xy 132.273425 115.234028) (xy 134.072 115.234028) (xy 134.072 115.524972) - (xy 134.12876 115.810325) (xy 134.240099 116.079122) (xy 134.401739 116.321033) (xy 134.607467 116.526761) (xy 134.849378 116.688401) - (xy 135.118175 116.79974) (xy 135.403528 116.8565) (xy 135.694472 116.8565) (xy 135.979825 116.79974) (xy 136.248622 116.688401) - (xy 136.490533 116.526761) (xy 136.696261 116.321033) (xy 136.799 116.167273) (xy 136.901739 116.321033) (xy 137.107467 116.526761) - (xy 137.349378 116.688401) (xy 137.618175 116.79974) (xy 137.903528 116.8565) (xy 138.194472 116.8565) (xy 138.479825 116.79974) - (xy 138.748622 116.688401) (xy 138.990533 116.526761) (xy 139.078173 116.439121) (xy 139.668984 116.439121) (xy 139.782585 116.650445) - (xy 140.045261 116.775544) (xy 140.327294 116.846995) (xy 140.617848 116.86205) (xy 140.905757 116.820131) (xy 141.179955 116.722849) - (xy 141.315415 116.650445) (xy 141.429016 116.439121) (xy 140.549 115.559105) (xy 139.668984 116.439121) (xy 139.078173 116.439121) - (xy 139.196261 116.321033) (xy 139.303965 116.159843) (xy 139.489379 116.259516) (xy 140.369395 115.3795) (xy 140.728605 115.3795) - (xy 141.608621 116.259516) (xy 141.819945 116.145915) (xy 141.945044 115.883239) (xy 142.016495 115.601206) (xy 142.03155 115.310652) - (xy 142.020394 115.234028) (xy 145.9065 115.234028) (xy 145.9065 115.524972) (xy 145.96326 115.810325) (xy 146.074599 116.079122) - (xy 146.236239 116.321033) (xy 146.441967 116.526761) (xy 146.683878 116.688401) (xy 146.952675 116.79974) (xy 147.238028 116.8565) - (xy 147.528972 116.8565) (xy 147.814325 116.79974) (xy 148.083122 116.688401) (xy 148.325033 116.526761) (xy 148.530761 116.321033) - (xy 148.6335 116.167273) (xy 148.736239 116.321033) (xy 148.941967 116.526761) (xy 149.183878 116.688401) (xy 149.452675 116.79974) - (xy 149.738028 116.8565) (xy 150.028972 116.8565) (xy 150.314325 116.79974) (xy 150.583122 116.688401) (xy 150.825033 116.526761) - (xy 150.912673 116.439121) (xy 151.503484 116.439121) (xy 151.617085 116.650445) (xy 151.879761 116.775544) (xy 152.161794 116.846995) - (xy 152.452348 116.86205) (xy 152.740257 116.820131) (xy 153.014455 116.722849) (xy 153.149915 116.650445) (xy 153.263516 116.439121) - (xy 152.3835 115.559105) (xy 151.503484 116.439121) (xy 150.912673 116.439121) (xy 151.030761 116.321033) (xy 151.138465 116.159843) - (xy 151.323879 116.259516) (xy 152.203895 115.3795) (xy 152.563105 115.3795) (xy 153.443121 116.259516) (xy 153.654445 116.145915) - (xy 153.751626 115.941858) (xy 155.072 115.941858) (xy 155.072 116.341142) (xy 155.149896 116.732754) (xy 155.302696 117.101645) - (xy 155.524526 117.433637) (xy 155.806863 117.715974) (xy 156.138855 117.937804) (xy 156.507746 118.090604) (xy 156.899358 118.1685) - (xy 157.298642 118.1685) (xy 157.690254 118.090604) (xy 158.059145 117.937804) (xy 158.391137 117.715974) (xy 158.673474 117.433637) - (xy 158.895304 117.101645) (xy 159.048104 116.732754) (xy 159.126 116.341142) (xy 159.126 115.941858) (xy 159.048104 115.550246) - (xy 158.895304 115.181355) (xy 158.673474 114.849363) (xy 158.391137 114.567026) (xy 158.059145 114.345196) (xy 157.690254 114.192396) - (xy 157.298642 114.1145) (xy 156.899358 114.1145) (xy 156.507746 114.192396) (xy 156.138855 114.345196) (xy 155.806863 114.567026) - (xy 155.524526 114.849363) (xy 155.302696 115.181355) (xy 155.149896 115.550246) (xy 155.072 115.941858) (xy 153.751626 115.941858) - (xy 153.779544 115.883239) (xy 153.850995 115.601206) (xy 153.86605 115.310652) (xy 153.824131 115.022743) (xy 153.726849 114.748545) - (xy 153.654445 114.613085) (xy 153.443121 114.499484) (xy 152.563105 115.3795) (xy 152.203895 115.3795) (xy 151.323879 114.499484) - (xy 151.138465 114.599157) (xy 151.030761 114.437967) (xy 150.912673 114.319879) (xy 151.503484 114.319879) (xy 152.3835 115.199895) - (xy 153.263516 114.319879) (xy 153.149915 114.108555) (xy 152.887239 113.983456) (xy 152.605206 113.912005) (xy 152.314652 113.89695) - (xy 152.026743 113.938869) (xy 151.752545 114.036151) (xy 151.617085 114.108555) (xy 151.503484 114.319879) (xy 150.912673 114.319879) - (xy 150.825033 114.232239) (xy 150.583122 114.070599) (xy 150.314325 113.95926) (xy 150.028972 113.9025) (xy 149.738028 113.9025) - (xy 149.452675 113.95926) (xy 149.183878 114.070599) (xy 148.941967 114.232239) (xy 148.736239 114.437967) (xy 148.6335 114.591727) - (xy 148.530761 114.437967) (xy 148.325033 114.232239) (xy 148.083122 114.070599) (xy 147.814325 113.95926) (xy 147.528972 113.9025) - (xy 147.238028 113.9025) (xy 146.952675 113.95926) (xy 146.683878 114.070599) (xy 146.441967 114.232239) (xy 146.236239 114.437967) - (xy 146.074599 114.679878) (xy 145.96326 114.948675) (xy 145.9065 115.234028) (xy 142.020394 115.234028) (xy 141.989631 115.022743) - (xy 141.892349 114.748545) (xy 141.819945 114.613085) (xy 141.608621 114.499484) (xy 140.728605 115.3795) (xy 140.369395 115.3795) - (xy 139.489379 114.499484) (xy 139.303965 114.599157) (xy 139.196261 114.437967) (xy 139.078173 114.319879) (xy 139.668984 114.319879) - (xy 140.549 115.199895) (xy 141.429016 114.319879) (xy 141.315415 114.108555) (xy 141.052739 113.983456) (xy 140.770706 113.912005) - (xy 140.480152 113.89695) (xy 140.192243 113.938869) (xy 139.918045 114.036151) (xy 139.782585 114.108555) (xy 139.668984 114.319879) - (xy 139.078173 114.319879) (xy 138.990533 114.232239) (xy 138.748622 114.070599) (xy 138.479825 113.95926) (xy 138.194472 113.9025) - (xy 137.903528 113.9025) (xy 137.618175 113.95926) (xy 137.349378 114.070599) (xy 137.107467 114.232239) (xy 136.901739 114.437967) - (xy 136.799 114.591727) (xy 136.696261 114.437967) (xy 136.490533 114.232239) (xy 136.248622 114.070599) (xy 135.979825 113.95926) - (xy 135.694472 113.9025) (xy 135.403528 113.9025) (xy 135.118175 113.95926) (xy 134.849378 114.070599) (xy 134.607467 114.232239) - (xy 134.401739 114.437967) (xy 134.240099 114.679878) (xy 134.12876 114.948675) (xy 134.072 115.234028) (xy 132.273425 115.234028) - (xy 132.225304 115.117855) (xy 132.003474 114.785863) (xy 131.721137 114.503526) (xy 131.389145 114.281696) (xy 131.020254 114.128896) - (xy 130.628642 114.051) (xy 130.229358 114.051) (xy 129.837746 114.128896) (xy 129.468855 114.281696) (xy 129.136863 114.503526) - (xy 128.854526 114.785863) (xy 128.632696 115.117855) (xy 128.479896 115.486746) (xy 128.402 115.878358) (xy 127.071 115.878358) - (xy 127.071 111.234048) (xy 146.366 111.234048) (xy 146.366 111.396952) (xy 146.397782 111.556727) (xy 146.460123 111.707231) - (xy 146.550628 111.842681) (xy 146.665819 111.957872) (xy 146.801269 112.048377) (xy 146.951773 112.110718) (xy 147.111548 112.1425) - (xy 147.274452 112.1425) (xy 147.434227 112.110718) (xy 147.584731 112.048377) (xy 147.720181 111.957872) (xy 147.835372 111.842681) - (xy 147.925877 111.707231) (xy 147.988218 111.556727) (xy 148.02 111.396952) (xy 148.02 111.234048) (xy 147.988218 111.074273) - (xy 147.925877 110.923769) (xy 147.835372 110.788319) (xy 147.720181 110.673128) (xy 147.584731 110.582623) (xy 147.434227 110.520282) - (xy 147.274452 110.4885) (xy 147.111548 110.4885) (xy 146.951773 110.520282) (xy 146.801269 110.582623) (xy 146.665819 110.673128) - (xy 146.550628 110.788319) (xy 146.460123 110.923769) (xy 146.397782 111.074273) (xy 146.366 111.234048) (xy 127.071 111.234048) - (xy 127.071 109.921897) (xy 138.75 109.921897) (xy 138.75 110.065103) (xy 138.777938 110.205558) (xy 138.832741 110.337864) - (xy 138.912302 110.456936) (xy 139.013564 110.558198) (xy 139.132636 110.637759) (xy 139.264942 110.692562) (xy 139.405397 110.7205) - (xy 139.548603 110.7205) (xy 139.689058 110.692562) (xy 139.821364 110.637759) (xy 139.940436 110.558198) (xy 140.041698 110.456936) - (xy 140.121259 110.337864) (xy 140.176062 110.205558) (xy 140.204 110.065103) (xy 140.204 109.921897) (xy 140.176062 109.781442) - (xy 140.121259 109.649136) (xy 140.041698 109.530064) (xy 139.940436 109.428802) (xy 139.821364 109.349241) (xy 139.689058 109.294438) - (xy 139.548603 109.2665) (xy 139.405397 109.2665) (xy 139.264942 109.294438) (xy 139.132636 109.349241) (xy 139.013564 109.428802) - (xy 138.912302 109.530064) (xy 138.832741 109.649136) (xy 138.777938 109.781442) (xy 138.75 109.921897) (xy 127.071 109.921897) - (xy 127.071 106.871897) (xy 135.14 106.871897) (xy 135.14 107.015103) (xy 135.167938 107.155558) (xy 135.222741 107.287864) - (xy 135.302302 107.406936) (xy 135.388866 107.4935) (xy 135.332302 107.550064) (xy 135.252741 107.669136) (xy 135.197938 107.801442) - (xy 135.17 107.941897) (xy 135.17 108.085103) (xy 135.197938 108.225558) (xy 135.252741 108.357864) (xy 135.332302 108.476936) - (xy 135.433564 108.578198) (xy 135.552636 108.657759) (xy 135.684942 108.712562) (xy 135.825397 108.7405) (xy 135.968603 108.7405) - (xy 136.109058 108.712562) (xy 136.241364 108.657759) (xy 136.360436 108.578198) (xy 136.461698 108.476936) (xy 136.541259 108.357864) - (xy 136.596062 108.225558) (xy 136.624 108.085103) (xy 136.624 107.941897) (xy 136.608088 107.861897) (xy 138.71 107.861897) - (xy 138.71 108.005103) (xy 138.737938 108.145558) (xy 138.792741 108.277864) (xy 138.872302 108.396936) (xy 138.973564 108.498198) - (xy 139.092636 108.577759) (xy 139.224942 108.632562) (xy 139.365397 108.6605) (xy 139.508603 108.6605) (xy 139.649058 108.632562) - (xy 139.781364 108.577759) (xy 139.900436 108.498198) (xy 140.001698 108.396936) (xy 140.081259 108.277864) (xy 140.136062 108.145558) - (xy 140.164 108.005103) (xy 140.164 107.861897) (xy 140.136062 107.721442) (xy 140.098972 107.631897) (xy 150.47 107.631897) - (xy 150.47 107.775103) (xy 150.497938 107.915558) (xy 150.552741 108.047864) (xy 150.632302 108.166936) (xy 150.733564 108.268198) - (xy 150.852636 108.347759) (xy 150.984942 108.402562) (xy 151.125397 108.4305) (xy 151.268603 108.4305) (xy 151.409058 108.402562) - (xy 151.541364 108.347759) (xy 151.660436 108.268198) (xy 151.761698 108.166936) (xy 151.841259 108.047864) (xy 151.896062 107.915558) - (xy 151.924 107.775103) (xy 151.924 107.631897) (xy 151.896062 107.491442) (xy 151.841259 107.359136) (xy 151.761698 107.240064) - (xy 151.660436 107.138802) (xy 151.541364 107.059241) (xy 151.409058 107.004438) (xy 151.268603 106.9765) (xy 151.125397 106.9765) - (xy 150.984942 107.004438) (xy 150.852636 107.059241) (xy 150.733564 107.138802) (xy 150.632302 107.240064) (xy 150.552741 107.359136) - (xy 150.497938 107.491442) (xy 150.47 107.631897) (xy 140.098972 107.631897) (xy 140.081259 107.589136) (xy 140.001698 107.470064) - (xy 139.900436 107.368802) (xy 139.781364 107.289241) (xy 139.649058 107.234438) (xy 139.508603 107.2065) (xy 139.365397 107.2065) - (xy 139.224942 107.234438) (xy 139.092636 107.289241) (xy 138.973564 107.368802) (xy 138.872302 107.470064) (xy 138.792741 107.589136) - (xy 138.737938 107.721442) (xy 138.71 107.861897) (xy 136.608088 107.861897) (xy 136.596062 107.801442) (xy 136.541259 107.669136) - (xy 136.461698 107.550064) (xy 136.375134 107.4635) (xy 136.431698 107.406936) (xy 136.511259 107.287864) (xy 136.566062 107.155558) - (xy 136.594 107.015103) (xy 136.594 106.871897) (xy 136.566062 106.731442) (xy 136.511259 106.599136) (xy 136.431698 106.480064) - (xy 136.330436 106.378802) (xy 136.211364 106.299241) (xy 136.079058 106.244438) (xy 135.938603 106.2165) (xy 135.795397 106.2165) - (xy 135.654942 106.244438) (xy 135.522636 106.299241) (xy 135.403564 106.378802) (xy 135.302302 106.480064) (xy 135.222741 106.599136) - (xy 135.167938 106.731442) (xy 135.14 106.871897) (xy 127.071 106.871897) (xy 127.071 106.041897) (xy 150.39 106.041897) - (xy 150.39 106.185103) (xy 150.417938 106.325558) (xy 150.472741 106.457864) (xy 150.552302 106.576936) (xy 150.653564 106.678198) - (xy 150.772636 106.757759) (xy 150.904942 106.812562) (xy 151.045397 106.8405) (xy 151.188603 106.8405) (xy 151.329058 106.812562) - (xy 151.461364 106.757759) (xy 151.580436 106.678198) (xy 151.681698 106.576936) (xy 151.761259 106.457864) (xy 151.816062 106.325558) - (xy 151.844 106.185103) (xy 151.844 106.041897) (xy 151.816062 105.901442) (xy 151.761259 105.769136) (xy 151.681698 105.650064) - (xy 151.580436 105.548802) (xy 151.461364 105.469241) (xy 151.329058 105.414438) (xy 151.188603 105.3865) (xy 151.045397 105.3865) - (xy 150.904942 105.414438) (xy 150.772636 105.469241) (xy 150.653564 105.548802) (xy 150.552302 105.650064) (xy 150.472741 105.769136) - (xy 150.417938 105.901442) (xy 150.39 106.041897) (xy 127.071 106.041897) (xy 127.071 104.101897) (xy 143.42 104.101897) - (xy 143.42 104.245103) (xy 143.447938 104.385558) (xy 143.502741 104.517864) (xy 143.582302 104.636936) (xy 143.683564 104.738198) - (xy 143.802636 104.817759) (xy 143.934942 104.872562) (xy 144.075397 104.9005) (xy 144.218603 104.9005) (xy 144.359058 104.872562) - (xy 144.491364 104.817759) (xy 144.610436 104.738198) (xy 144.711698 104.636936) (xy 144.791259 104.517864) (xy 144.846062 104.385558) - (xy 144.874 104.245103) (xy 144.874 104.101897) (xy 144.846062 103.961442) (xy 144.791259 103.829136) (xy 144.711698 103.710064) - (xy 144.610436 103.608802) (xy 144.491364 103.529241) (xy 144.359058 103.474438) (xy 144.218603 103.4465) (xy 144.075397 103.4465) - (xy 143.934942 103.474438) (xy 143.802636 103.529241) (xy 143.683564 103.608802) (xy 143.582302 103.710064) (xy 143.502741 103.829136) - (xy 143.447938 103.961442) (xy 143.42 104.101897) (xy 127.071 104.101897) (xy 127.071 103.5295) (xy 129.911934 103.5295) - (xy 129.920178 103.613207) (xy 129.944595 103.693696) (xy 129.984245 103.767876) (xy 130.037605 103.832895) (xy 130.102624 103.886255) - (xy 130.176804 103.925905) (xy 130.257293 103.950322) (xy 130.341 103.958566) (xy 130.95725 103.9565) (xy 131.064 103.84975) - (xy 131.064 102.8065) (xy 131.318 102.8065) (xy 131.318 103.84975) (xy 131.42475 103.9565) (xy 132.041 103.958566) - (xy 132.124707 103.950322) (xy 132.205196 103.925905) (xy 132.279376 103.886255) (xy 132.344395 103.832895) (xy 132.397755 103.767876) - (xy 132.437405 103.693696) (xy 132.461822 103.613207) (xy 132.470066 103.5295) (xy 132.468 102.91325) (xy 132.36125 102.8065) - (xy 131.318 102.8065) (xy 131.064 102.8065) (xy 130.02075 102.8065) (xy 129.914 102.91325) (xy 129.911934 103.5295) - (xy 127.071 103.5295) (xy 127.071 102.631897) (xy 142.06 102.631897) (xy 142.06 102.775103) (xy 142.087938 102.915558) - (xy 142.142741 103.047864) (xy 142.222302 103.166936) (xy 142.323564 103.268198) (xy 142.442636 103.347759) (xy 142.574942 103.402562) - (xy 142.715397 103.4305) (xy 142.858603 103.4305) (xy 142.999058 103.402562) (xy 143.131364 103.347759) (xy 143.250436 103.268198) - (xy 143.351698 103.166936) (xy 143.395141 103.101918) (xy 147.677908 103.101918) (xy 147.677908 103.245124) (xy 147.705846 103.385579) - (xy 147.760649 103.517885) (xy 147.84021 103.636957) (xy 147.941472 103.738219) (xy 148.060544 103.81778) (xy 148.19285 103.872583) - (xy 148.333305 103.900521) (xy 148.476511 103.900521) (xy 148.616966 103.872583) (xy 148.749272 103.81778) (xy 148.868344 103.738219) - (xy 148.969606 103.636957) (xy 148.998682 103.593441) (xy 152.501388 103.593441) (xy 152.501388 103.736647) (xy 152.529326 103.877102) - (xy 152.584129 104.009408) (xy 152.66369 104.12848) (xy 152.764952 104.229742) (xy 152.884024 104.309303) (xy 153.01633 104.364106) - (xy 153.156785 104.392044) (xy 153.299991 104.392044) (xy 153.440446 104.364106) (xy 153.572752 104.309303) (xy 153.691824 104.229742) - (xy 153.793086 104.12848) (xy 153.872647 104.009408) (xy 153.92745 103.877102) (xy 153.955388 103.736647) (xy 153.955388 103.593441) - (xy 153.94267 103.5295) (xy 155.311934 103.5295) (xy 155.320178 103.613207) (xy 155.344595 103.693696) (xy 155.384245 103.767876) - (xy 155.437605 103.832895) (xy 155.502624 103.886255) (xy 155.576804 103.925905) (xy 155.657293 103.950322) (xy 155.741 103.958566) - (xy 156.35725 103.9565) (xy 156.464 103.84975) (xy 156.464 102.8065) (xy 156.718 102.8065) (xy 156.718 103.84975) - (xy 156.82475 103.9565) (xy 157.441 103.958566) (xy 157.524707 103.950322) (xy 157.605196 103.925905) (xy 157.679376 103.886255) - (xy 157.744395 103.832895) (xy 157.797755 103.767876) (xy 157.837405 103.693696) (xy 157.861822 103.613207) (xy 157.870066 103.5295) - (xy 157.868 102.91325) (xy 157.76125 102.8065) (xy 156.718 102.8065) (xy 156.464 102.8065) (xy 155.42075 102.8065) - (xy 155.314 102.91325) (xy 155.311934 103.5295) (xy 153.94267 103.5295) (xy 153.92745 103.452986) (xy 153.872647 103.32068) - (xy 153.793086 103.201608) (xy 153.691824 103.100346) (xy 153.572752 103.020785) (xy 153.440446 102.965982) (xy 153.299991 102.938044) - (xy 153.156785 102.938044) (xy 153.01633 102.965982) (xy 152.884024 103.020785) (xy 152.764952 103.100346) (xy 152.66369 103.201608) - (xy 152.584129 103.32068) (xy 152.529326 103.452986) (xy 152.501388 103.593441) (xy 148.998682 103.593441) (xy 149.049167 103.517885) - (xy 149.10397 103.385579) (xy 149.131908 103.245124) (xy 149.131908 103.101918) (xy 149.10397 102.961463) (xy 149.049167 102.829157) - (xy 148.969606 102.710085) (xy 148.868344 102.608823) (xy 148.803261 102.565336) (xy 151.269959 102.565336) (xy 151.269959 102.708542) - (xy 151.297897 102.848997) (xy 151.3527 102.981303) (xy 151.432261 103.100375) (xy 151.533523 103.201637) (xy 151.652595 103.281198) - (xy 151.784901 103.336001) (xy 151.925356 103.363939) (xy 152.068562 103.363939) (xy 152.209017 103.336001) (xy 152.341323 103.281198) - (xy 152.460395 103.201637) (xy 152.561657 103.100375) (xy 152.641218 102.981303) (xy 152.696021 102.848997) (xy 152.723959 102.708542) - (xy 152.723959 102.565336) (xy 152.696021 102.424881) (xy 152.641218 102.292575) (xy 152.561657 102.173503) (xy 152.536978 102.148824) - (xy 152.669058 102.122552) (xy 152.801364 102.067749) (xy 152.920436 101.988188) (xy 153.021698 101.886926) (xy 153.101259 101.767854) - (xy 153.13211 101.693373) (xy 153.162961 101.767854) (xy 153.242522 101.886926) (xy 153.343784 101.988188) (xy 153.462856 102.067749) - (xy 153.595162 102.122552) (xy 153.735617 102.15049) (xy 153.878823 102.15049) (xy 154.019278 102.122552) (xy 154.151584 102.067749) - (xy 154.270656 101.988188) (xy 154.371918 101.886926) (xy 154.410288 101.8295) (xy 155.311934 101.8295) (xy 155.314 102.44575) - (xy 155.42075 102.5525) (xy 156.464 102.5525) (xy 156.464 102.5325) (xy 156.718 102.5325) (xy 156.718 102.5525) - (xy 157.76125 102.5525) (xy 157.868 102.44575) (xy 157.870066 101.8295) (xy 157.861822 101.745793) (xy 157.837405 101.665304) - (xy 157.797755 101.591124) (xy 157.744395 101.526105) (xy 157.679376 101.472745) (xy 157.605196 101.433095) (xy 157.524707 101.408678) - (xy 157.441 101.400434) (xy 156.82475 101.4025) (xy 156.718002 101.509248) (xy 156.718002 101.416256) (xy 156.963487 101.367426) - (xy 157.195886 101.271163) (xy 157.40504 101.131411) (xy 157.582911 100.95354) (xy 157.722663 100.744386) (xy 157.818926 100.511987) - (xy 157.868 100.265274) (xy 157.868 100.013726) (xy 157.818926 99.767013) (xy 157.722663 99.534614) (xy 157.582911 99.32546) - (xy 157.40504 99.147589) (xy 157.195886 99.007837) (xy 156.963487 98.911574) (xy 156.751966 98.8695) (xy 156.963487 98.827426) - (xy 157.195886 98.731163) (xy 157.40504 98.591411) (xy 157.582911 98.41354) (xy 157.722663 98.204386) (xy 157.818926 97.971987) - (xy 157.868 97.725274) (xy 157.868 97.473726) (xy 157.818926 97.227013) (xy 157.722663 96.994614) (xy 157.582911 96.78546) - (xy 157.40504 96.607589) (xy 157.195886 96.467837) (xy 156.963487 96.371574) (xy 156.751966 96.3295) (xy 156.963487 96.287426) - (xy 157.195886 96.191163) (xy 157.40504 96.051411) (xy 157.582911 95.87354) (xy 157.722663 95.664386) (xy 157.818926 95.431987) - (xy 157.868 95.185274) (xy 157.868 94.933726) (xy 157.818926 94.687013) (xy 157.722663 94.454614) (xy 157.582911 94.24546) - (xy 157.40504 94.067589) (xy 157.195886 93.927837) (xy 156.963487 93.831574) (xy 156.716774 93.7825) (xy 156.465226 93.7825) - (xy 156.218513 93.831574) (xy 155.986114 93.927837) (xy 155.77696 94.067589) (xy 155.599089 94.24546) (xy 155.459337 94.454614) - (xy 155.363074 94.687013) (xy 155.314 94.933726) (xy 155.314 95.185274) (xy 155.363074 95.431987) (xy 155.459337 95.664386) - (xy 155.599089 95.87354) (xy 155.77696 96.051411) (xy 155.986114 96.191163) (xy 156.218513 96.287426) (xy 156.430034 96.3295) - (xy 156.218513 96.371574) (xy 155.986114 96.467837) (xy 155.77696 96.607589) (xy 155.599089 96.78546) (xy 155.459337 96.994614) - (xy 155.363074 97.227013) (xy 155.314 97.473726) (xy 155.314 97.725274) (xy 155.363074 97.971987) (xy 155.459337 98.204386) - (xy 155.599089 98.41354) (xy 155.77696 98.591411) (xy 155.986114 98.731163) (xy 156.218513 98.827426) (xy 156.430034 98.8695) - (xy 156.218513 98.911574) (xy 155.986114 99.007837) (xy 155.77696 99.147589) (xy 155.599089 99.32546) (xy 155.459337 99.534614) - (xy 155.363074 99.767013) (xy 155.314 100.013726) (xy 155.314 100.265274) (xy 155.363074 100.511987) (xy 155.459337 100.744386) - (xy 155.599089 100.95354) (xy 155.77696 101.131411) (xy 155.986114 101.271163) (xy 156.218513 101.367426) (xy 156.463998 101.416256) - (xy 156.463998 101.509248) (xy 156.35725 101.4025) (xy 155.741 101.400434) (xy 155.657293 101.408678) (xy 155.576804 101.433095) - (xy 155.502624 101.472745) (xy 155.437605 101.526105) (xy 155.384245 101.591124) (xy 155.344595 101.665304) (xy 155.320178 101.745793) - (xy 155.311934 101.8295) (xy 154.410288 101.8295) (xy 154.451479 101.767854) (xy 154.506282 101.635548) (xy 154.53422 101.495093) - (xy 154.53422 101.351887) (xy 154.506282 101.211432) (xy 154.451479 101.079126) (xy 154.371918 100.960054) (xy 154.270656 100.858792) - (xy 154.151584 100.779231) (xy 154.019278 100.724428) (xy 153.878823 100.69649) (xy 153.735617 100.69649) (xy 153.595162 100.724428) - (xy 153.462856 100.779231) (xy 153.343784 100.858792) (xy 153.242522 100.960054) (xy 153.162961 101.079126) (xy 153.13211 101.153607) - (xy 153.101259 101.079126) (xy 153.021698 100.960054) (xy 152.920436 100.858792) (xy 152.801364 100.779231) (xy 152.669058 100.724428) - (xy 152.528603 100.69649) (xy 152.385397 100.69649) (xy 152.244942 100.724428) (xy 152.112636 100.779231) (xy 151.993564 100.858792) - (xy 151.892302 100.960054) (xy 151.812741 101.079126) (xy 151.757938 101.211432) (xy 151.73 101.351887) (xy 151.73 101.495093) - (xy 151.757938 101.635548) (xy 151.812741 101.767854) (xy 151.892302 101.886926) (xy 151.916981 101.911605) (xy 151.784901 101.937877) - (xy 151.652595 101.99268) (xy 151.533523 102.072241) (xy 151.432261 102.173503) (xy 151.3527 102.292575) (xy 151.297897 102.424881) - (xy 151.269959 102.565336) (xy 148.803261 102.565336) (xy 148.749272 102.529262) (xy 148.616966 102.474459) (xy 148.476511 102.446521) - (xy 148.333305 102.446521) (xy 148.19285 102.474459) (xy 148.060544 102.529262) (xy 147.941472 102.608823) (xy 147.84021 102.710085) - (xy 147.760649 102.829157) (xy 147.705846 102.961463) (xy 147.677908 103.101918) (xy 143.395141 103.101918) (xy 143.431259 103.047864) - (xy 143.486062 102.915558) (xy 143.514 102.775103) (xy 143.514 102.631897) (xy 143.486062 102.491442) (xy 143.431259 102.359136) - (xy 143.351698 102.240064) (xy 143.250436 102.138802) (xy 143.235833 102.129045) (xy 143.311364 102.097759) (xy 143.430436 102.018198) - (xy 143.46 101.988634) (xy 143.46 102.055103) (xy 143.487938 102.195558) (xy 143.542741 102.327864) (xy 143.622302 102.446936) - (xy 143.723564 102.548198) (xy 143.842636 102.627759) (xy 143.974942 102.682562) (xy 144.115397 102.7105) (xy 144.258603 102.7105) - (xy 144.399058 102.682562) (xy 144.531364 102.627759) (xy 144.650436 102.548198) (xy 144.751698 102.446936) (xy 144.831259 102.327864) - (xy 144.886062 102.195558) (xy 144.914 102.055103) (xy 144.914 101.911897) (xy 144.886062 101.771442) (xy 144.831259 101.639136) - (xy 144.751698 101.520064) (xy 144.650436 101.418802) (xy 144.531364 101.339241) (xy 144.399058 101.284438) (xy 144.258603 101.2565) - (xy 144.115397 101.2565) (xy 143.974942 101.284438) (xy 143.842636 101.339241) (xy 143.723564 101.418802) (xy 143.694 101.448366) - (xy 143.694 101.381897) (xy 143.666062 101.241442) (xy 143.618655 101.126991) (xy 150.114366 101.126991) (xy 150.114366 101.270197) - (xy 150.142304 101.410652) (xy 150.197107 101.542958) (xy 150.276668 101.66203) (xy 150.37793 101.763292) (xy 150.497002 101.842853) - (xy 150.629308 101.897656) (xy 150.769763 101.925594) (xy 150.912969 101.925594) (xy 151.053424 101.897656) (xy 151.18573 101.842853) - (xy 151.304802 101.763292) (xy 151.406064 101.66203) (xy 151.485625 101.542958) (xy 151.540428 101.410652) (xy 151.568366 101.270197) - (xy 151.568366 101.126991) (xy 151.540428 100.986536) (xy 151.485625 100.85423) (xy 151.406064 100.735158) (xy 151.304802 100.633896) - (xy 151.18573 100.554335) (xy 151.053424 100.499532) (xy 150.912969 100.471594) (xy 150.769763 100.471594) (xy 150.629308 100.499532) - (xy 150.497002 100.554335) (xy 150.37793 100.633896) (xy 150.276668 100.735158) (xy 150.197107 100.85423) (xy 150.142304 100.986536) - (xy 150.114366 101.126991) (xy 143.618655 101.126991) (xy 143.611259 101.109136) (xy 143.531698 100.990064) (xy 143.430436 100.888802) - (xy 143.311364 100.809241) (xy 143.179058 100.754438) (xy 143.038603 100.7265) (xy 142.895397 100.7265) (xy 142.754942 100.754438) - (xy 142.622636 100.809241) (xy 142.503564 100.888802) (xy 142.402302 100.990064) (xy 142.322741 101.109136) (xy 142.267938 101.241442) - (xy 142.24 101.381897) (xy 142.24 101.525103) (xy 142.267938 101.665558) (xy 142.322741 101.797864) (xy 142.402302 101.916936) - (xy 142.503564 102.018198) (xy 142.518167 102.027955) (xy 142.442636 102.059241) (xy 142.323564 102.138802) (xy 142.222302 102.240064) - (xy 142.142741 102.359136) (xy 142.087938 102.491442) (xy 142.06 102.631897) (xy 127.071 102.631897) (xy 127.071 93.316355) - (xy 129.692271 93.316355) (xy 129.692271 93.479259) (xy 129.724053 93.639034) (xy 129.786394 93.789538) (xy 129.876899 93.924988) - (xy 129.99209 94.040179) (xy 130.12754 94.130684) (xy 130.259292 94.185257) (xy 130.199089 94.24546) (xy 130.059337 94.454614) - (xy 129.963074 94.687013) (xy 129.914 94.933726) (xy 129.914 95.185274) (xy 129.963074 95.431987) (xy 130.059337 95.664386) - (xy 130.199089 95.87354) (xy 130.37696 96.051411) (xy 130.586114 96.191163) (xy 130.818513 96.287426) (xy 131.030034 96.3295) - (xy 130.818513 96.371574) (xy 130.586114 96.467837) (xy 130.37696 96.607589) (xy 130.199089 96.78546) (xy 130.059337 96.994614) - (xy 129.963074 97.227013) (xy 129.914 97.473726) (xy 129.914 97.725274) (xy 129.963074 97.971987) (xy 130.059337 98.204386) - (xy 130.199089 98.41354) (xy 130.37696 98.591411) (xy 130.586114 98.731163) (xy 130.818513 98.827426) (xy 131.030034 98.8695) - (xy 130.818513 98.911574) (xy 130.586114 99.007837) (xy 130.37696 99.147589) (xy 130.199089 99.32546) (xy 130.059337 99.534614) - (xy 129.963074 99.767013) (xy 129.914 100.013726) (xy 129.914 100.265274) (xy 129.963074 100.511987) (xy 130.059337 100.744386) - (xy 130.199089 100.95354) (xy 130.37696 101.131411) (xy 130.586114 101.271163) (xy 130.818513 101.367426) (xy 131.063998 101.416256) - (xy 131.063998 101.509248) (xy 130.95725 101.4025) (xy 130.341 101.400434) (xy 130.257293 101.408678) (xy 130.176804 101.433095) - (xy 130.102624 101.472745) (xy 130.037605 101.526105) (xy 129.984245 101.591124) (xy 129.944595 101.665304) (xy 129.920178 101.745793) - (xy 129.911934 101.8295) (xy 129.914 102.44575) (xy 130.02075 102.5525) (xy 131.064 102.5525) (xy 131.064 102.5325) - (xy 131.318 102.5325) (xy 131.318 102.5525) (xy 132.36125 102.5525) (xy 132.468 102.44575) (xy 132.470066 101.8295) - (xy 132.461822 101.745793) (xy 132.437405 101.665304) (xy 132.397755 101.591124) (xy 132.344395 101.526105) (xy 132.279376 101.472745) - (xy 132.259081 101.461897) (xy 139 101.461897) (xy 139 101.605103) (xy 139.027938 101.745558) (xy 139.082741 101.877864) - (xy 139.162302 101.996936) (xy 139.263564 102.098198) (xy 139.382636 102.177759) (xy 139.514942 102.232562) (xy 139.655397 102.2605) - (xy 139.798603 102.2605) (xy 139.939058 102.232562) (xy 140.071364 102.177759) (xy 140.190436 102.098198) (xy 140.291698 101.996936) - (xy 140.371259 101.877864) (xy 140.426062 101.745558) (xy 140.454 101.605103) (xy 140.454 101.461897) (xy 140.426062 101.321442) - (xy 140.371259 101.189136) (xy 140.291698 101.070064) (xy 140.190436 100.968802) (xy 140.071364 100.889241) (xy 139.939058 100.834438) - (xy 139.798603 100.8065) (xy 139.655397 100.8065) (xy 139.514942 100.834438) (xy 139.382636 100.889241) (xy 139.263564 100.968802) - (xy 139.162302 101.070064) (xy 139.082741 101.189136) (xy 139.027938 101.321442) (xy 139 101.461897) (xy 132.259081 101.461897) - (xy 132.205196 101.433095) (xy 132.124707 101.408678) (xy 132.041 101.400434) (xy 131.42475 101.4025) (xy 131.318002 101.509248) - (xy 131.318002 101.416256) (xy 131.563487 101.367426) (xy 131.795886 101.271163) (xy 132.00504 101.131411) (xy 132.182911 100.95354) - (xy 132.322663 100.744386) (xy 132.418926 100.511987) (xy 132.468 100.265274) (xy 132.468 100.013726) (xy 132.418926 99.767013) - (xy 132.322663 99.534614) (xy 132.182911 99.32546) (xy 132.00504 99.147589) (xy 131.795886 99.007837) (xy 131.563487 98.911574) - (xy 131.351966 98.8695) (xy 131.563487 98.827426) (xy 131.795886 98.731163) (xy 132.00504 98.591411) (xy 132.182911 98.41354) - (xy 132.322663 98.204386) (xy 132.33612 98.171897) (xy 135.22 98.171897) (xy 135.22 98.315103) (xy 135.247938 98.455558) - (xy 135.302741 98.587864) (xy 135.382302 98.706936) (xy 135.483564 98.808198) (xy 135.602636 98.887759) (xy 135.734942 98.942562) - (xy 135.875397 98.9705) (xy 136.018603 98.9705) (xy 136.159058 98.942562) (xy 136.291364 98.887759) (xy 136.410436 98.808198) - (xy 136.511698 98.706936) (xy 136.591259 98.587864) (xy 136.646062 98.455558) (xy 136.674 98.315103) (xy 136.674 98.171897) - (xy 136.660077 98.101897) (xy 138.164002 98.101897) (xy 138.164002 98.245103) (xy 138.19194 98.385558) (xy 138.246743 98.517864) - (xy 138.326304 98.636936) (xy 138.427566 98.738198) (xy 138.546638 98.817759) (xy 138.678944 98.872562) (xy 138.819399 98.9005) - (xy 138.962605 98.9005) (xy 139.10306 98.872562) (xy 139.235366 98.817759) (xy 139.354438 98.738198) (xy 139.4557 98.636936) - (xy 139.535261 98.517864) (xy 139.590064 98.385558) (xy 139.618002 98.245103) (xy 139.618002 98.151897) (xy 145.27 98.151897) - (xy 145.27 98.295103) (xy 145.297938 98.435558) (xy 145.352741 98.567864) (xy 145.432302 98.686936) (xy 145.533564 98.788198) - (xy 145.652636 98.867759) (xy 145.784942 98.922562) (xy 145.925397 98.9505) (xy 146.068603 98.9505) (xy 146.209058 98.922562) - (xy 146.341364 98.867759) (xy 146.460436 98.788198) (xy 146.561698 98.686936) (xy 146.641259 98.567864) (xy 146.696062 98.435558) - (xy 146.724 98.295103) (xy 146.724 98.151897) (xy 146.696062 98.011442) (xy 146.641259 97.879136) (xy 146.561698 97.760064) - (xy 146.460436 97.658802) (xy 146.341364 97.579241) (xy 146.209058 97.524438) (xy 146.068603 97.4965) (xy 145.925397 97.4965) - (xy 145.784942 97.524438) (xy 145.652636 97.579241) (xy 145.533564 97.658802) (xy 145.432302 97.760064) (xy 145.352741 97.879136) - (xy 145.297938 98.011442) (xy 145.27 98.151897) (xy 139.618002 98.151897) (xy 139.618002 98.101897) (xy 139.590064 97.961442) - (xy 139.535261 97.829136) (xy 139.4557 97.710064) (xy 139.354438 97.608802) (xy 139.235366 97.529241) (xy 139.10306 97.474438) - (xy 138.962605 97.4465) (xy 138.819399 97.4465) (xy 138.678944 97.474438) (xy 138.546638 97.529241) (xy 138.427566 97.608802) - (xy 138.326304 97.710064) (xy 138.246743 97.829136) (xy 138.19194 97.961442) (xy 138.164002 98.101897) (xy 136.660077 98.101897) - (xy 136.646062 98.031442) (xy 136.591259 97.899136) (xy 136.511698 97.780064) (xy 136.410436 97.678802) (xy 136.291364 97.599241) - (xy 136.159058 97.544438) (xy 136.018603 97.5165) (xy 135.875397 97.5165) (xy 135.734942 97.544438) (xy 135.602636 97.599241) - (xy 135.483564 97.678802) (xy 135.382302 97.780064) (xy 135.302741 97.899136) (xy 135.247938 98.031442) (xy 135.22 98.171897) - (xy 132.33612 98.171897) (xy 132.418926 97.971987) (xy 132.468 97.725274) (xy 132.468 97.473726) (xy 132.418926 97.227013) - (xy 132.396097 97.171897) (xy 141.16 97.171897) (xy 141.16 97.315103) (xy 141.187938 97.455558) (xy 141.242741 97.587864) - (xy 141.322302 97.706936) (xy 141.423564 97.808198) (xy 141.542636 97.887759) (xy 141.674942 97.942562) (xy 141.815397 97.9705) - (xy 141.958603 97.9705) (xy 142.099058 97.942562) (xy 142.231364 97.887759) (xy 142.350436 97.808198) (xy 142.451698 97.706936) - (xy 142.531259 97.587864) (xy 142.586062 97.455558) (xy 142.614 97.315103) (xy 142.614 97.171897) (xy 142.586062 97.031442) - (xy 142.531259 96.899136) (xy 142.451698 96.780064) (xy 142.350436 96.678802) (xy 142.236823 96.602888) (xy 147.212443 96.602888) - (xy 147.212443 96.746094) (xy 147.240381 96.886549) (xy 147.295184 97.018855) (xy 147.374745 97.137927) (xy 147.476007 97.239189) - (xy 147.595079 97.31875) (xy 147.727385 97.373553) (xy 147.86784 97.401491) (xy 148.011046 97.401491) (xy 148.151501 97.373553) - (xy 148.283807 97.31875) (xy 148.402879 97.239189) (xy 148.504141 97.137927) (xy 148.583702 97.018855) (xy 148.623195 96.92351) - (xy 148.692302 97.026936) (xy 148.793564 97.128198) (xy 148.864572 97.175644) (xy 148.818603 97.1665) (xy 148.675397 97.1665) - (xy 148.534942 97.194438) (xy 148.402636 97.249241) (xy 148.283564 97.328802) (xy 148.182302 97.430064) (xy 148.102741 97.549136) - (xy 148.047938 97.681442) (xy 148.02 97.821897) (xy 148.02 97.965103) (xy 148.047938 98.105558) (xy 148.102741 98.237864) - (xy 148.182302 98.356936) (xy 148.283564 98.458198) (xy 148.402636 98.537759) (xy 148.534942 98.592562) (xy 148.675397 98.6205) - (xy 148.818603 98.6205) (xy 148.959058 98.592562) (xy 149.091364 98.537759) (xy 149.210436 98.458198) (xy 149.311698 98.356936) - (xy 149.391259 98.237864) (xy 149.446062 98.105558) (xy 149.455186 98.059688) (xy 152.450425 98.059688) (xy 152.450425 98.202894) - (xy 152.478363 98.343349) (xy 152.533166 98.475655) (xy 152.612727 98.594727) (xy 152.713989 98.695989) (xy 152.833061 98.77555) - (xy 152.965367 98.830353) (xy 153.105822 98.858291) (xy 153.220663 98.858291) (xy 153.21 98.911897) (xy 153.21 99.055103) - (xy 153.237938 99.195558) (xy 153.292741 99.327864) (xy 153.372302 99.446936) (xy 153.473564 99.548198) (xy 153.592636 99.627759) - (xy 153.724942 99.682562) (xy 153.865397 99.7105) (xy 154.008603 99.7105) (xy 154.149058 99.682562) (xy 154.281364 99.627759) - (xy 154.400436 99.548198) (xy 154.501698 99.446936) (xy 154.581259 99.327864) (xy 154.636062 99.195558) (xy 154.664 99.055103) - (xy 154.664 98.911897) (xy 154.636062 98.771442) (xy 154.581259 98.639136) (xy 154.501698 98.520064) (xy 154.400436 98.418802) - (xy 154.281364 98.339241) (xy 154.149058 98.284438) (xy 154.008603 98.2565) (xy 153.893762 98.2565) (xy 153.904425 98.202894) - (xy 153.904425 98.059688) (xy 153.876487 97.919233) (xy 153.869385 97.902088) (xy 153.982636 97.977759) (xy 154.114942 98.032562) - (xy 154.255397 98.0605) (xy 154.398603 98.0605) (xy 154.539058 98.032562) (xy 154.671364 97.977759) (xy 154.790436 97.898198) - (xy 154.891698 97.796936) (xy 154.971259 97.677864) (xy 155.026062 97.545558) (xy 155.054 97.405103) (xy 155.054 97.261897) - (xy 155.026062 97.121442) (xy 154.971259 96.989136) (xy 154.891698 96.870064) (xy 154.807652 96.786018) (xy 154.824293 96.745842) - (xy 154.852231 96.605387) (xy 154.852231 96.462181) (xy 154.824293 96.321726) (xy 154.76949 96.18942) (xy 154.689929 96.070348) - (xy 154.588667 95.969086) (xy 154.469595 95.889525) (xy 154.337289 95.834722) (xy 154.196834 95.806784) (xy 154.053628 95.806784) - (xy 153.913173 95.834722) (xy 153.780867 95.889525) (xy 153.661795 95.969086) (xy 153.560533 96.070348) (xy 153.480972 96.18942) - (xy 153.426169 96.321726) (xy 153.398231 96.462181) (xy 153.398231 96.605387) (xy 153.426169 96.745842) (xy 153.480972 96.878148) - (xy 153.560533 96.99722) (xy 153.644579 97.081266) (xy 153.627938 97.121442) (xy 153.6 97.261897) (xy 153.6 97.405103) - (xy 153.627938 97.545558) (xy 153.63504 97.562703) (xy 153.521789 97.487032) (xy 153.389483 97.432229) (xy 153.249028 97.404291) - (xy 153.105822 97.404291) (xy 152.965367 97.432229) (xy 152.833061 97.487032) (xy 152.713989 97.566593) (xy 152.612727 97.667855) - (xy 152.533166 97.786927) (xy 152.478363 97.919233) (xy 152.450425 98.059688) (xy 149.455186 98.059688) (xy 149.474 97.965103) - (xy 149.474 97.821897) (xy 149.446062 97.681442) (xy 149.391259 97.549136) (xy 149.311698 97.430064) (xy 149.210436 97.328802) - (xy 149.139428 97.281356) (xy 149.185397 97.2905) (xy 149.328603 97.2905) (xy 149.469058 97.262562) (xy 149.601364 97.207759) - (xy 149.640069 97.181897) (xy 150.29 97.181897) (xy 150.29 97.325103) (xy 150.317938 97.465558) (xy 150.372741 97.597864) - (xy 150.452302 97.716936) (xy 150.553564 97.818198) (xy 150.672636 97.897759) (xy 150.804942 97.952562) (xy 150.945397 97.9805) - (xy 151.088603 97.9805) (xy 151.229058 97.952562) (xy 151.361364 97.897759) (xy 151.480436 97.818198) (xy 151.581698 97.716936) - (xy 151.661259 97.597864) (xy 151.716062 97.465558) (xy 151.744 97.325103) (xy 151.744 97.203048) (xy 151.749507 97.203048) - (xy 151.889962 97.17511) (xy 152.022268 97.120307) (xy 152.14134 97.040746) (xy 152.242602 96.939484) (xy 152.322163 96.820412) - (xy 152.376966 96.688106) (xy 152.404904 96.547651) (xy 152.404904 96.404445) (xy 152.376966 96.26399) (xy 152.322163 96.131684) - (xy 152.242602 96.012612) (xy 152.14134 95.91135) (xy 152.022268 95.831789) (xy 151.889962 95.776986) (xy 151.749507 95.749048) - (xy 151.606301 95.749048) (xy 151.465846 95.776986) (xy 151.33354 95.831789) (xy 151.214468 95.91135) (xy 151.113206 96.012612) - (xy 151.033645 96.131684) (xy 150.978842 96.26399) (xy 150.950904 96.404445) (xy 150.950904 96.5265) (xy 150.945397 96.5265) - (xy 150.804942 96.554438) (xy 150.672636 96.609241) (xy 150.553564 96.688802) (xy 150.452302 96.790064) (xy 150.372741 96.909136) - (xy 150.317938 97.041442) (xy 150.29 97.181897) (xy 149.640069 97.181897) (xy 149.720436 97.128198) (xy 149.821698 97.026936) - (xy 149.901259 96.907864) (xy 149.956062 96.775558) (xy 149.984 96.635103) (xy 149.984 96.491897) (xy 149.956062 96.351442) - (xy 149.901259 96.219136) (xy 149.821698 96.100064) (xy 149.720436 95.998802) (xy 149.601364 95.919241) (xy 149.469058 95.864438) - (xy 149.328603 95.8365) (xy 149.185397 95.8365) (xy 149.044942 95.864438) (xy 148.912636 95.919241) (xy 148.793564 95.998802) - (xy 148.692302 96.100064) (xy 148.612741 96.219136) (xy 148.573248 96.314481) (xy 148.504141 96.211055) (xy 148.402879 96.109793) - (xy 148.283807 96.030232) (xy 148.151501 95.975429) (xy 148.011046 95.947491) (xy 147.86784 95.947491) (xy 147.727385 95.975429) - (xy 147.595079 96.030232) (xy 147.476007 96.109793) (xy 147.374745 96.211055) (xy 147.295184 96.330127) (xy 147.240381 96.462433) - (xy 147.212443 96.602888) (xy 142.236823 96.602888) (xy 142.231364 96.599241) (xy 142.099058 96.544438) (xy 141.958603 96.5165) - (xy 141.815397 96.5165) (xy 141.674942 96.544438) (xy 141.542636 96.599241) (xy 141.423564 96.678802) (xy 141.322302 96.780064) - (xy 141.242741 96.899136) (xy 141.187938 97.031442) (xy 141.16 97.171897) (xy 132.396097 97.171897) (xy 132.322663 96.994614) - (xy 132.182911 96.78546) (xy 132.00504 96.607589) (xy 131.795886 96.467837) (xy 131.563487 96.371574) (xy 131.351966 96.3295) - (xy 131.563487 96.287426) (xy 131.795886 96.191163) (xy 132.00504 96.051411) (xy 132.182911 95.87354) (xy 132.322663 95.664386) - (xy 132.389968 95.501897) (xy 142.1 95.501897) (xy 142.1 95.645103) (xy 142.127938 95.785558) (xy 142.182741 95.917864) - (xy 142.262302 96.036936) (xy 142.363564 96.138198) (xy 142.482636 96.217759) (xy 142.614942 96.272562) (xy 142.755397 96.3005) - (xy 142.898603 96.3005) (xy 143.039058 96.272562) (xy 143.171364 96.217759) (xy 143.290436 96.138198) (xy 143.391698 96.036936) - (xy 143.471259 95.917864) (xy 143.526062 95.785558) (xy 143.554 95.645103) (xy 143.554 95.501897) (xy 143.526062 95.361442) - (xy 143.471259 95.229136) (xy 143.391698 95.110064) (xy 143.290436 95.008802) (xy 143.171364 94.929241) (xy 143.039058 94.874438) - (xy 142.898603 94.8465) (xy 142.755397 94.8465) (xy 142.614942 94.874438) (xy 142.482636 94.929241) (xy 142.363564 95.008802) - (xy 142.262302 95.110064) (xy 142.182741 95.229136) (xy 142.127938 95.361442) (xy 142.1 95.501897) (xy 132.389968 95.501897) - (xy 132.418926 95.431987) (xy 132.463074 95.210038) (xy 132.465397 95.2105) (xy 132.608603 95.2105) (xy 132.749058 95.182562) - (xy 132.881364 95.127759) (xy 133.000436 95.048198) (xy 133.101698 94.946936) (xy 133.181259 94.827864) (xy 133.236062 94.695558) - (xy 133.264 94.555103) (xy 133.264 94.411897) (xy 133.236062 94.271442) (xy 133.181259 94.139136) (xy 133.101698 94.020064) - (xy 133.000436 93.918802) (xy 132.881364 93.839241) (xy 132.749058 93.784438) (xy 132.608603 93.7565) (xy 132.465397 93.7565) - (xy 132.324942 93.784438) (xy 132.192636 93.839241) (xy 132.073564 93.918802) (xy 131.972302 94.020064) (xy 131.960453 94.037797) - (xy 131.795886 93.927837) (xy 131.563487 93.831574) (xy 131.316774 93.7825) (xy 131.255063 93.7825) (xy 131.296734 93.681897) - (xy 133.34 93.681897) (xy 133.34 93.825103) (xy 133.367938 93.965558) (xy 133.422741 94.097864) (xy 133.502302 94.216936) - (xy 133.603564 94.318198) (xy 133.722636 94.397759) (xy 133.854942 94.452562) (xy 133.995397 94.4805) (xy 134.138603 94.4805) - (xy 134.279058 94.452562) (xy 134.411364 94.397759) (xy 134.530436 94.318198) (xy 134.616737 94.231897) (xy 143.86 94.231897) - (xy 143.86 94.375103) (xy 143.887938 94.515558) (xy 143.942741 94.647864) (xy 144.022302 94.766936) (xy 144.123564 94.868198) - (xy 144.242636 94.947759) (xy 144.374942 95.002562) (xy 144.515397 95.0305) (xy 144.658603 95.0305) (xy 144.799058 95.002562) - (xy 144.931364 94.947759) (xy 145.050436 94.868198) (xy 145.151698 94.766936) (xy 145.231259 94.647864) (xy 145.286062 94.515558) - (xy 145.314 94.375103) (xy 145.314 94.231897) (xy 145.286062 94.091442) (xy 145.231259 93.959136) (xy 145.151698 93.840064) - (xy 145.050436 93.738802) (xy 144.931364 93.659241) (xy 144.799058 93.604438) (xy 144.658603 93.5765) (xy 144.515397 93.5765) - (xy 144.374942 93.604438) (xy 144.242636 93.659241) (xy 144.123564 93.738802) (xy 144.022302 93.840064) (xy 143.942741 93.959136) - (xy 143.887938 94.091442) (xy 143.86 94.231897) (xy 134.616737 94.231897) (xy 134.631698 94.216936) (xy 134.711259 94.097864) - (xy 134.766062 93.965558) (xy 134.794 93.825103) (xy 134.794 93.681897) (xy 134.766062 93.541442) (xy 134.711259 93.409136) - (xy 134.706423 93.401897) (xy 136.98 93.401897) (xy 136.98 93.545103) (xy 137.007938 93.685558) (xy 137.062741 93.817864) - (xy 137.142302 93.936936) (xy 137.243564 94.038198) (xy 137.362636 94.117759) (xy 137.494942 94.172562) (xy 137.635397 94.2005) - (xy 137.778603 94.2005) (xy 137.919058 94.172562) (xy 138.051364 94.117759) (xy 138.170436 94.038198) (xy 138.271698 93.936936) - (xy 138.351259 93.817864) (xy 138.406062 93.685558) (xy 138.434 93.545103) (xy 138.434 93.401897) (xy 138.406062 93.261442) - (xy 138.351259 93.129136) (xy 138.271698 93.010064) (xy 138.170436 92.908802) (xy 138.051364 92.829241) (xy 137.919058 92.774438) - (xy 137.778603 92.7465) (xy 137.635397 92.7465) (xy 137.494942 92.774438) (xy 137.362636 92.829241) (xy 137.243564 92.908802) - (xy 137.142302 93.010064) (xy 137.062741 93.129136) (xy 137.007938 93.261442) (xy 136.98 93.401897) (xy 134.706423 93.401897) - (xy 134.631698 93.290064) (xy 134.530436 93.188802) (xy 134.411364 93.109241) (xy 134.279058 93.054438) (xy 134.138603 93.0265) - (xy 133.995397 93.0265) (xy 133.854942 93.054438) (xy 133.722636 93.109241) (xy 133.603564 93.188802) (xy 133.502302 93.290064) - (xy 133.422741 93.409136) (xy 133.367938 93.541442) (xy 133.34 93.681897) (xy 131.296734 93.681897) (xy 131.314489 93.639034) - (xy 131.346271 93.479259) (xy 131.346271 93.316355) (xy 131.314489 93.15658) (xy 131.252148 93.006076) (xy 131.161643 92.870626) - (xy 131.046452 92.755435) (xy 130.911002 92.66493) (xy 130.760498 92.602589) (xy 130.600723 92.570807) (xy 130.437819 92.570807) - (xy 130.278044 92.602589) (xy 130.12754 92.66493) (xy 129.99209 92.755435) (xy 129.876899 92.870626) (xy 129.786394 93.006076) - (xy 129.724053 93.15658) (xy 129.692271 93.316355) (xy 127.071 93.316355) (xy 127.071 91.431897) (xy 138.4 91.431897) - (xy 138.4 91.575103) (xy 138.427938 91.715558) (xy 138.482741 91.847864) (xy 138.562302 91.966936) (xy 138.663564 92.068198) - (xy 138.782636 92.147759) (xy 138.914942 92.202562) (xy 139.055397 92.2305) (xy 139.198603 92.2305) (xy 139.339058 92.202562) - (xy 139.471364 92.147759) (xy 139.590436 92.068198) (xy 139.691698 91.966936) (xy 139.771259 91.847864) (xy 139.826062 91.715558) - (xy 139.838724 91.651897) (xy 141.95 91.651897) (xy 141.95 91.795103) (xy 141.977938 91.935558) (xy 142.032741 92.067864) - (xy 142.112302 92.186936) (xy 142.213564 92.288198) (xy 142.332636 92.367759) (xy 142.464942 92.422562) (xy 142.605397 92.4505) - (xy 142.748603 92.4505) (xy 142.889058 92.422562) (xy 143.021364 92.367759) (xy 143.140436 92.288198) (xy 143.241698 92.186936) - (xy 143.269869 92.144776) (xy 143.283948 92.215558) (xy 143.338751 92.347864) (xy 143.418312 92.466936) (xy 143.519574 92.568198) - (xy 143.638646 92.647759) (xy 143.770952 92.702562) (xy 143.911407 92.7305) (xy 144.054613 92.7305) (xy 144.195068 92.702562) - (xy 144.327374 92.647759) (xy 144.446446 92.568198) (xy 144.547708 92.466936) (xy 144.627269 92.347864) (xy 144.682072 92.215558) - (xy 144.71001 92.075103) (xy 144.71001 91.96498) (xy 145.474886 91.96498) (xy 145.474886 92.108186) (xy 145.502824 92.248641) - (xy 145.557627 92.380947) (xy 145.637188 92.500019) (xy 145.73845 92.601281) (xy 145.857522 92.680842) (xy 145.989828 92.735645) - (xy 146.130283 92.763583) (xy 146.273489 92.763583) (xy 146.413944 92.735645) (xy 146.54625 92.680842) (xy 146.665322 92.601281) - (xy 146.766584 92.500019) (xy 146.846145 92.380947) (xy 146.858757 92.3505) (xy 146.958603 92.3505) (xy 147.099058 92.322562) - (xy 147.231364 92.267759) (xy 147.350436 92.188198) (xy 147.426737 92.111897) (xy 148.97 92.111897) (xy 148.97 92.255103) - (xy 148.997938 92.395558) (xy 149.052741 92.527864) (xy 149.132302 92.646936) (xy 149.233564 92.748198) (xy 149.352636 92.827759) - (xy 149.484942 92.882562) (xy 149.625397 92.9105) (xy 149.768603 92.9105) (xy 149.909058 92.882562) (xy 150.041364 92.827759) - (xy 150.160436 92.748198) (xy 150.261698 92.646936) (xy 150.341259 92.527864) (xy 150.396062 92.395558) (xy 150.424 92.255103) - (xy 150.424 92.151897) (xy 150.81 92.151897) (xy 150.81 92.295103) (xy 150.837938 92.435558) (xy 150.892741 92.567864) - (xy 150.972302 92.686936) (xy 151.073564 92.788198) (xy 151.192636 92.867759) (xy 151.324942 92.922562) (xy 151.465397 92.9505) - (xy 151.608603 92.9505) (xy 151.749058 92.922562) (xy 151.881364 92.867759) (xy 152.000436 92.788198) (xy 152.101698 92.686936) - (xy 152.118428 92.661897) (xy 152.4 92.661897) (xy 152.4 92.805103) (xy 152.427938 92.945558) (xy 152.482741 93.077864) - (xy 152.562302 93.196936) (xy 152.663564 93.298198) (xy 152.782636 93.377759) (xy 152.914942 93.432562) (xy 153.055397 93.4605) - (xy 153.198603 93.4605) (xy 153.339058 93.432562) (xy 153.471364 93.377759) (xy 153.590436 93.298198) (xy 153.678737 93.209897) - (xy 156.87999 93.209897) (xy 156.87999 93.353103) (xy 156.907928 93.493558) (xy 156.962731 93.625864) (xy 157.042292 93.744936) - (xy 157.143554 93.846198) (xy 157.262626 93.925759) (xy 157.394932 93.980562) (xy 157.535387 94.0085) (xy 157.678593 94.0085) - (xy 157.819048 93.980562) (xy 157.951354 93.925759) (xy 158.070426 93.846198) (xy 158.171688 93.744936) (xy 158.251249 93.625864) - (xy 158.306052 93.493558) (xy 158.33399 93.353103) (xy 158.33399 93.209897) (xy 158.306052 93.069442) (xy 158.251249 92.937136) - (xy 158.171688 92.818064) (xy 158.070426 92.716802) (xy 157.951354 92.637241) (xy 157.819048 92.582438) (xy 157.678593 92.5545) - (xy 157.535387 92.5545) (xy 157.394932 92.582438) (xy 157.262626 92.637241) (xy 157.143554 92.716802) (xy 157.042292 92.818064) - (xy 156.962731 92.937136) (xy 156.907928 93.069442) (xy 156.87999 93.209897) (xy 153.678737 93.209897) (xy 153.691698 93.196936) - (xy 153.771259 93.077864) (xy 153.826062 92.945558) (xy 153.854 92.805103) (xy 153.854 92.661897) (xy 153.826062 92.521442) - (xy 153.771259 92.389136) (xy 153.691698 92.270064) (xy 153.590436 92.168802) (xy 153.471364 92.089241) (xy 153.339058 92.034438) - (xy 153.198603 92.0065) (xy 153.055397 92.0065) (xy 152.914942 92.034438) (xy 152.782636 92.089241) (xy 152.663564 92.168802) - (xy 152.562302 92.270064) (xy 152.482741 92.389136) (xy 152.427938 92.521442) (xy 152.4 92.661897) (xy 152.118428 92.661897) - (xy 152.181259 92.567864) (xy 152.236062 92.435558) (xy 152.264 92.295103) (xy 152.264 92.151897) (xy 152.236062 92.011442) - (xy 152.181259 91.879136) (xy 152.126758 91.797568) (xy 155.571694 91.797568) (xy 155.571694 91.940774) (xy 155.599632 92.081229) - (xy 155.654435 92.213535) (xy 155.733996 92.332607) (xy 155.835258 92.433869) (xy 155.95433 92.51343) (xy 156.086636 92.568233) - (xy 156.227091 92.596171) (xy 156.370297 92.596171) (xy 156.510752 92.568233) (xy 156.643058 92.51343) (xy 156.76213 92.433869) - (xy 156.863392 92.332607) (xy 156.942953 92.213535) (xy 156.997756 92.081229) (xy 157.025694 91.940774) (xy 157.025694 91.797568) - (xy 156.997756 91.657113) (xy 156.942953 91.524807) (xy 156.863392 91.405735) (xy 156.76213 91.304473) (xy 156.643058 91.224912) - (xy 156.510752 91.170109) (xy 156.370297 91.142171) (xy 156.227091 91.142171) (xy 156.086636 91.170109) (xy 155.95433 91.224912) - (xy 155.835258 91.304473) (xy 155.733996 91.405735) (xy 155.654435 91.524807) (xy 155.599632 91.657113) (xy 155.571694 91.797568) - (xy 152.126758 91.797568) (xy 152.101698 91.760064) (xy 152.000436 91.658802) (xy 151.881364 91.579241) (xy 151.749058 91.524438) - (xy 151.608603 91.4965) (xy 151.465397 91.4965) (xy 151.324942 91.524438) (xy 151.192636 91.579241) (xy 151.073564 91.658802) - (xy 150.972302 91.760064) (xy 150.892741 91.879136) (xy 150.837938 92.011442) (xy 150.81 92.151897) (xy 150.424 92.151897) - (xy 150.424 92.111897) (xy 150.396062 91.971442) (xy 150.341259 91.839136) (xy 150.261698 91.720064) (xy 150.160436 91.618802) - (xy 150.041364 91.539241) (xy 149.909058 91.484438) (xy 149.768603 91.4565) (xy 149.625397 91.4565) (xy 149.484942 91.484438) - (xy 149.352636 91.539241) (xy 149.233564 91.618802) (xy 149.132302 91.720064) (xy 149.052741 91.839136) (xy 148.997938 91.971442) - (xy 148.97 92.111897) (xy 147.426737 92.111897) (xy 147.451698 92.086936) (xy 147.531259 91.967864) (xy 147.586062 91.835558) - (xy 147.614 91.695103) (xy 147.614 91.551897) (xy 147.586062 91.411442) (xy 147.531259 91.279136) (xy 147.451698 91.160064) - (xy 147.393531 91.101897) (xy 157.86 91.101897) (xy 157.86 91.245103) (xy 157.887938 91.385558) (xy 157.942741 91.517864) - (xy 158.022302 91.636936) (xy 158.123564 91.738198) (xy 158.242636 91.817759) (xy 158.374942 91.872562) (xy 158.515397 91.9005) - (xy 158.658603 91.9005) (xy 158.799058 91.872562) (xy 158.931364 91.817759) (xy 159.050436 91.738198) (xy 159.151698 91.636936) - (xy 159.231259 91.517864) (xy 159.286062 91.385558) (xy 159.314 91.245103) (xy 159.314 91.101897) (xy 159.286062 90.961442) - (xy 159.231259 90.829136) (xy 159.151698 90.710064) (xy 159.050436 90.608802) (xy 158.931364 90.529241) (xy 158.799058 90.474438) - (xy 158.658603 90.4465) (xy 158.515397 90.4465) (xy 158.374942 90.474438) (xy 158.242636 90.529241) (xy 158.123564 90.608802) - (xy 158.022302 90.710064) (xy 157.942741 90.829136) (xy 157.887938 90.961442) (xy 157.86 91.101897) (xy 147.393531 91.101897) - (xy 147.350436 91.058802) (xy 147.231364 90.979241) (xy 147.099058 90.924438) (xy 146.958603 90.8965) (xy 146.815397 90.8965) - (xy 146.674942 90.924438) (xy 146.542636 90.979241) (xy 146.423564 91.058802) (xy 146.322302 91.160064) (xy 146.242741 91.279136) - (xy 146.230129 91.309583) (xy 146.130283 91.309583) (xy 145.989828 91.337521) (xy 145.857522 91.392324) (xy 145.73845 91.471885) - (xy 145.637188 91.573147) (xy 145.557627 91.692219) (xy 145.502824 91.824525) (xy 145.474886 91.96498) (xy 144.71001 91.96498) - (xy 144.71001 91.931897) (xy 144.682072 91.791442) (xy 144.627269 91.659136) (xy 144.547708 91.540064) (xy 144.446446 91.438802) - (xy 144.327374 91.359241) (xy 144.195068 91.304438) (xy 144.054613 91.2765) (xy 143.911407 91.2765) (xy 143.770952 91.304438) - (xy 143.638646 91.359241) (xy 143.519574 91.438802) (xy 143.418312 91.540064) (xy 143.390141 91.582224) (xy 143.376062 91.511442) - (xy 143.321259 91.379136) (xy 143.241698 91.260064) (xy 143.140436 91.158802) (xy 143.021364 91.079241) (xy 142.889058 91.024438) - (xy 142.748603 90.9965) (xy 142.605397 90.9965) (xy 142.464942 91.024438) (xy 142.332636 91.079241) (xy 142.213564 91.158802) - (xy 142.112302 91.260064) (xy 142.032741 91.379136) (xy 141.977938 91.511442) (xy 141.95 91.651897) (xy 139.838724 91.651897) - (xy 139.854 91.575103) (xy 139.854 91.431897) (xy 139.826062 91.291442) (xy 139.771259 91.159136) (xy 139.691698 91.040064) - (xy 139.590436 90.938802) (xy 139.471364 90.859241) (xy 139.339058 90.804438) (xy 139.198603 90.7765) (xy 139.055397 90.7765) - (xy 138.914942 90.804438) (xy 138.782636 90.859241) (xy 138.663564 90.938802) (xy 138.562302 91.040064) (xy 138.482741 91.159136) - (xy 138.427938 91.291442) (xy 138.4 91.431897) (xy 127.071 91.431897) (xy 127.071 87.375241) (xy 132.338113 87.375241) - (xy 132.338113 87.518447) (xy 132.366051 87.658902) (xy 132.420854 87.791208) (xy 132.500415 87.91028) (xy 132.601677 88.011542) - (xy 132.720749 88.091103) (xy 132.853055 88.145906) (xy 132.99351 88.173844) (xy 133.136716 88.173844) (xy 133.277171 88.145906) - (xy 133.409477 88.091103) (xy 133.528549 88.011542) (xy 133.629811 87.91028) (xy 133.709372 87.791208) (xy 133.746365 87.701897) - (xy 138.62 87.701897) (xy 138.62 87.845103) (xy 138.647938 87.985558) (xy 138.702741 88.117864) (xy 138.782302 88.236936) - (xy 138.883564 88.338198) (xy 139.002636 88.417759) (xy 139.134942 88.472562) (xy 139.275397 88.5005) (xy 139.418603 88.5005) - (xy 139.559058 88.472562) (xy 139.691364 88.417759) (xy 139.810436 88.338198) (xy 139.911698 88.236936) (xy 139.991259 88.117864) - (xy 140.046062 87.985558) (xy 140.074 87.845103) (xy 140.074 87.831907) (xy 146.27 87.831907) (xy 146.27 87.975113) - (xy 146.297938 88.115568) (xy 146.352741 88.247874) (xy 146.432302 88.366946) (xy 146.533564 88.468208) (xy 146.652636 88.547769) - (xy 146.784942 88.602572) (xy 146.925397 88.63051) (xy 147.068603 88.63051) (xy 147.209058 88.602572) (xy 147.341364 88.547769) - (xy 147.460436 88.468208) (xy 147.561698 88.366946) (xy 147.641259 88.247874) (xy 147.696062 88.115568) (xy 147.724 87.975113) - (xy 147.724 87.831907) (xy 147.696062 87.691452) (xy 147.641259 87.559146) (xy 147.561698 87.440074) (xy 147.483521 87.361897) - (xy 149.41 87.361897) (xy 149.41 87.505103) (xy 149.437938 87.645558) (xy 149.492741 87.777864) (xy 149.572302 87.896936) - (xy 149.673564 87.998198) (xy 149.792636 88.077759) (xy 149.924942 88.132562) (xy 150.065397 88.1605) (xy 150.208603 88.1605) - (xy 150.349058 88.132562) (xy 150.481364 88.077759) (xy 150.600436 87.998198) (xy 150.701698 87.896936) (xy 150.765773 87.801041) - (xy 150.772741 87.817864) (xy 150.852302 87.936936) (xy 150.953564 88.038198) (xy 151.072636 88.117759) (xy 151.204942 88.172562) - (xy 151.345397 88.2005) (xy 151.488603 88.2005) (xy 151.629058 88.172562) (xy 151.761364 88.117759) (xy 151.880436 88.038198) - (xy 151.935984 87.98265) (xy 151.992302 88.066936) (xy 152.093564 88.168198) (xy 152.212636 88.247759) (xy 152.344942 88.302562) - (xy 152.485397 88.3305) (xy 152.628603 88.3305) (xy 152.769058 88.302562) (xy 152.901364 88.247759) (xy 153.020436 88.168198) - (xy 153.121698 88.066936) (xy 153.201259 87.947864) (xy 153.256062 87.815558) (xy 153.284 87.675103) (xy 153.284 87.531897) - (xy 153.256062 87.391442) (xy 153.201259 87.259136) (xy 153.121698 87.140064) (xy 153.020436 87.038802) (xy 152.901364 86.959241) - (xy 152.769058 86.904438) (xy 152.628603 86.8765) (xy 152.485397 86.8765) (xy 152.344942 86.904438) (xy 152.212636 86.959241) - (xy 152.093564 87.038802) (xy 152.038016 87.09435) (xy 151.981698 87.010064) (xy 151.880436 86.908802) (xy 151.761364 86.829241) - (xy 151.629058 86.774438) (xy 151.488603 86.7465) (xy 151.345397 86.7465) (xy 151.204942 86.774438) (xy 151.072636 86.829241) - (xy 150.953564 86.908802) (xy 150.852302 87.010064) (xy 150.788227 87.105959) (xy 150.781259 87.089136) (xy 150.701698 86.970064) - (xy 150.600436 86.868802) (xy 150.481364 86.789241) (xy 150.349058 86.734438) (xy 150.208603 86.7065) (xy 150.065397 86.7065) - (xy 149.924942 86.734438) (xy 149.792636 86.789241) (xy 149.673564 86.868802) (xy 149.572302 86.970064) (xy 149.492741 87.089136) - (xy 149.437938 87.221442) (xy 149.41 87.361897) (xy 147.483521 87.361897) (xy 147.460436 87.338812) (xy 147.341364 87.259251) - (xy 147.209058 87.204448) (xy 147.068603 87.17651) (xy 146.925397 87.17651) (xy 146.784942 87.204448) (xy 146.652636 87.259251) - (xy 146.533564 87.338812) (xy 146.432302 87.440074) (xy 146.352741 87.559146) (xy 146.297938 87.691452) (xy 146.27 87.831907) - (xy 140.074 87.831907) (xy 140.074 87.701897) (xy 140.046062 87.561442) (xy 139.991259 87.429136) (xy 139.911698 87.310064) - (xy 139.810436 87.208802) (xy 139.691364 87.129241) (xy 139.559058 87.074438) (xy 139.418603 87.0465) (xy 139.275397 87.0465) - (xy 139.134942 87.074438) (xy 139.002636 87.129241) (xy 138.883564 87.208802) (xy 138.782302 87.310064) (xy 138.702741 87.429136) - (xy 138.647938 87.561442) (xy 138.62 87.701897) (xy 133.746365 87.701897) (xy 133.764175 87.658902) (xy 133.792113 87.518447) - (xy 133.792113 87.375241) (xy 133.764175 87.234786) (xy 133.709372 87.10248) (xy 133.629811 86.983408) (xy 133.528549 86.882146) - (xy 133.409477 86.802585) (xy 133.277171 86.747782) (xy 133.136716 86.719844) (xy 132.99351 86.719844) (xy 132.853055 86.747782) - (xy 132.720749 86.802585) (xy 132.601677 86.882146) (xy 132.500415 86.983408) (xy 132.420854 87.10248) (xy 132.366051 87.234786) - (xy 132.338113 87.375241) (xy 127.071 87.375241) (xy 127.071 84.891897) (xy 150.71 84.891897) (xy 150.71 85.035103) - (xy 150.737938 85.175558) (xy 150.792741 85.307864) (xy 150.872302 85.426936) (xy 150.973564 85.528198) (xy 151.092636 85.607759) - (xy 151.224942 85.662562) (xy 151.365397 85.6905) (xy 151.508603 85.6905) (xy 151.649058 85.662562) (xy 151.781364 85.607759) - (xy 151.900436 85.528198) (xy 152.001698 85.426936) (xy 152.081259 85.307864) (xy 152.136062 85.175558) (xy 152.164 85.035103) - (xy 152.164 84.901897) (xy 157.73 84.901897) (xy 157.73 85.045103) (xy 157.757938 85.185558) (xy 157.812741 85.317864) - (xy 157.892302 85.436936) (xy 157.993564 85.538198) (xy 158.112636 85.617759) (xy 158.244942 85.672562) (xy 158.385397 85.7005) - (xy 158.528603 85.7005) (xy 158.669058 85.672562) (xy 158.801364 85.617759) (xy 158.920436 85.538198) (xy 159.021698 85.436936) - (xy 159.101259 85.317864) (xy 159.156062 85.185558) (xy 159.184 85.045103) (xy 159.184 84.901897) (xy 159.156062 84.761442) - (xy 159.101259 84.629136) (xy 159.021698 84.510064) (xy 158.920436 84.408802) (xy 158.801364 84.329241) (xy 158.669058 84.274438) - (xy 158.528603 84.2465) (xy 158.385397 84.2465) (xy 158.244942 84.274438) (xy 158.112636 84.329241) (xy 157.993564 84.408802) - (xy 157.892302 84.510064) (xy 157.812741 84.629136) (xy 157.757938 84.761442) (xy 157.73 84.901897) (xy 152.164 84.901897) - (xy 152.164 84.891897) (xy 152.136062 84.751442) (xy 152.081259 84.619136) (xy 152.001698 84.500064) (xy 151.900436 84.398802) - (xy 151.781364 84.319241) (xy 151.649058 84.264438) (xy 151.508603 84.2365) (xy 151.365397 84.2365) (xy 151.224942 84.264438) - (xy 151.092636 84.319241) (xy 150.973564 84.398802) (xy 150.872302 84.500064) (xy 150.792741 84.619136) (xy 150.737938 84.751442) - (xy 150.71 84.891897) (xy 127.071 84.891897) (xy 127.071 83.081893) (xy 127.36001 83.081893) (xy 127.36001 83.225099) - (xy 127.387948 83.365554) (xy 127.442751 83.49786) (xy 127.522312 83.616932) (xy 127.623574 83.718194) (xy 127.742646 83.797755) - (xy 127.874952 83.852558) (xy 128.015407 83.880496) (xy 128.158613 83.880496) (xy 128.299068 83.852558) (xy 128.431374 83.797755) - (xy 128.550446 83.718194) (xy 128.651708 83.616932) (xy 128.731269 83.49786) (xy 128.786072 83.365554) (xy 128.81401 83.225099) - (xy 128.81401 83.081893) (xy 128.808044 83.051897) (xy 129.649982 83.051897) (xy 129.649982 83.195103) (xy 129.67792 83.335558) - (xy 129.732723 83.467864) (xy 129.812284 83.586936) (xy 129.913546 83.688198) (xy 130.032618 83.767759) (xy 130.164924 83.822562) - (xy 130.305379 83.8505) (xy 130.448585 83.8505) (xy 130.58904 83.822562) (xy 130.721346 83.767759) (xy 130.840418 83.688198) - (xy 130.94168 83.586936) (xy 131.021241 83.467864) (xy 131.076044 83.335558) (xy 131.103982 83.195103) (xy 131.103982 83.051897) - (xy 131.076044 82.911442) (xy 131.021241 82.779136) (xy 130.94168 82.660064) (xy 130.840418 82.558802) (xy 130.721346 82.479241) - (xy 130.58904 82.424438) (xy 130.448585 82.3965) (xy 130.305379 82.3965) (xy 130.164924 82.424438) (xy 130.032618 82.479241) - (xy 129.913546 82.558802) (xy 129.812284 82.660064) (xy 129.732723 82.779136) (xy 129.67792 82.911442) (xy 129.649982 83.051897) - (xy 128.808044 83.051897) (xy 128.786072 82.941438) (xy 128.731269 82.809132) (xy 128.651708 82.69006) (xy 128.550446 82.588798) - (xy 128.431374 82.509237) (xy 128.299068 82.454434) (xy 128.158613 82.426496) (xy 128.015407 82.426496) (xy 127.874952 82.454434) - (xy 127.742646 82.509237) (xy 127.623574 82.588798) (xy 127.522312 82.69006) (xy 127.442751 82.809132) (xy 127.387948 82.941438) - (xy 127.36001 83.081893) (xy 127.071 83.081893) (xy 127.071 82.281897) (xy 132.92 82.281897) (xy 132.92 82.425103) - (xy 132.947938 82.565558) (xy 133.002741 82.697864) (xy 133.082302 82.816936) (xy 133.183564 82.918198) (xy 133.302636 82.997759) - (xy 133.434942 83.052562) (xy 133.575397 83.0805) (xy 133.718603 83.0805) (xy 133.859058 83.052562) (xy 133.991364 82.997759) - (xy 134.089933 82.931897) (xy 136.52 82.931897) (xy 136.52 83.075103) (xy 136.547938 83.215558) (xy 136.602741 83.347864) - (xy 136.682302 83.466936) (xy 136.783564 83.568198) (xy 136.902636 83.647759) (xy 137.034942 83.702562) (xy 137.175397 83.7305) - (xy 137.318603 83.7305) (xy 137.459058 83.702562) (xy 137.591364 83.647759) (xy 137.615103 83.631897) (xy 140.72 83.631897) - (xy 140.72 83.775103) (xy 140.747938 83.915558) (xy 140.802741 84.047864) (xy 140.882302 84.166936) (xy 140.983564 84.268198) - (xy 141.102636 84.347759) (xy 141.234942 84.402562) (xy 141.375397 84.4305) (xy 141.518603 84.4305) (xy 141.659058 84.402562) - (xy 141.791364 84.347759) (xy 141.910436 84.268198) (xy 142.011698 84.166936) (xy 142.091259 84.047864) (xy 142.146062 83.915558) - (xy 142.174 83.775103) (xy 142.174 83.631897) (xy 142.146062 83.491442) (xy 142.091259 83.359136) (xy 142.011698 83.240064) - (xy 141.910436 83.138802) (xy 141.791364 83.059241) (xy 141.659058 83.004438) (xy 141.518603 82.9765) (xy 141.375397 82.9765) - (xy 141.234942 83.004438) (xy 141.102636 83.059241) (xy 140.983564 83.138802) (xy 140.882302 83.240064) (xy 140.802741 83.359136) - (xy 140.747938 83.491442) (xy 140.72 83.631897) (xy 137.615103 83.631897) (xy 137.710436 83.568198) (xy 137.811698 83.466936) - (xy 137.891259 83.347864) (xy 137.946062 83.215558) (xy 137.974 83.075103) (xy 137.974 82.931897) (xy 137.946062 82.791442) - (xy 137.910419 82.705391) (xy 137.972646 82.74697) (xy 138.104952 82.801773) (xy 138.245407 82.829711) (xy 138.388613 82.829711) - (xy 138.529068 82.801773) (xy 138.560228 82.788866) (xy 138.572302 82.806936) (xy 138.673564 82.908198) (xy 138.792636 82.987759) - (xy 138.924942 83.042562) (xy 139.065397 83.0705) (xy 139.208603 83.0705) (xy 139.349058 83.042562) (xy 139.481364 82.987759) - (xy 139.600436 82.908198) (xy 139.701698 82.806936) (xy 139.781259 82.687864) (xy 139.836062 82.555558) (xy 139.864 82.415103) - (xy 139.864 82.271897) (xy 139.836062 82.131442) (xy 139.781259 81.999136) (xy 139.701698 81.880064) (xy 139.600436 81.778802) - (xy 139.481364 81.699241) (xy 139.349058 81.644438) (xy 139.235736 81.621897) (xy 143.15 81.621897) (xy 143.15 81.765103) - (xy 143.177938 81.905558) (xy 143.232741 82.037864) (xy 143.312302 82.156936) (xy 143.413564 82.258198) (xy 143.532636 82.337759) - (xy 143.664942 82.392562) (xy 143.805397 82.4205) (xy 143.948603 82.4205) (xy 144.089058 82.392562) (xy 144.221364 82.337759) - (xy 144.340436 82.258198) (xy 144.441698 82.156936) (xy 144.521259 82.037864) (xy 144.576062 81.905558) (xy 144.604 81.765103) - (xy 144.604 81.621897) (xy 144.576062 81.481442) (xy 144.521259 81.349136) (xy 144.482233 81.290729) (xy 144.534942 81.312562) - (xy 144.675397 81.3405) (xy 144.818603 81.3405) (xy 144.939046 81.316543) (xy 144.928405 81.370037) (xy 144.928405 81.513243) - (xy 144.956343 81.653698) (xy 145.011146 81.786004) (xy 145.090707 81.905076) (xy 145.191969 82.006338) (xy 145.311041 82.085899) - (xy 145.311181 82.085957) (xy 145.31 82.091897) (xy 145.31 82.235103) (xy 145.337938 82.375558) (xy 145.392741 82.507864) - (xy 145.472302 82.626936) (xy 145.573564 82.728198) (xy 145.64798 82.777921) (xy 145.564942 82.794438) (xy 145.432636 82.849241) - (xy 145.313564 82.928802) (xy 145.212302 83.030064) (xy 145.132741 83.149136) (xy 145.077938 83.281442) (xy 145.05 83.421897) - (xy 145.05 83.565103) (xy 145.077938 83.705558) (xy 145.132741 83.837864) (xy 145.212302 83.956936) (xy 145.313564 84.058198) - (xy 145.432636 84.137759) (xy 145.564942 84.192562) (xy 145.705397 84.2205) (xy 145.848603 84.2205) (xy 145.989058 84.192562) - (xy 146.121364 84.137759) (xy 146.240436 84.058198) (xy 146.341698 83.956936) (xy 146.421259 83.837864) (xy 146.476062 83.705558) - (xy 146.504 83.565103) (xy 146.504 83.421897) (xy 146.476062 83.281442) (xy 146.421259 83.149136) (xy 146.341698 83.030064) - (xy 146.303531 82.991897) (xy 149.99 82.991897) (xy 149.99 83.135103) (xy 150.017938 83.275558) (xy 150.072741 83.407864) - (xy 150.152302 83.526936) (xy 150.253564 83.628198) (xy 150.372636 83.707759) (xy 150.504942 83.762562) (xy 150.645397 83.7905) - (xy 150.788603 83.7905) (xy 150.929058 83.762562) (xy 151.061364 83.707759) (xy 151.130001 83.661897) (xy 152.6 83.661897) - (xy 152.6 83.805103) (xy 152.627938 83.945558) (xy 152.682741 84.077864) (xy 152.762302 84.196936) (xy 152.863564 84.298198) - (xy 152.982636 84.377759) (xy 153.114942 84.432562) (xy 153.255397 84.4605) (xy 153.398603 84.4605) (xy 153.539058 84.432562) - (xy 153.671364 84.377759) (xy 153.790436 84.298198) (xy 153.891698 84.196936) (xy 153.971259 84.077864) (xy 154.026062 83.945558) - (xy 154.054 83.805103) (xy 154.054 83.661897) (xy 154.026062 83.521442) (xy 153.971259 83.389136) (xy 153.891698 83.270064) - (xy 153.790436 83.168802) (xy 153.671364 83.089241) (xy 153.636835 83.074939) (xy 153.699058 83.062562) (xy 153.831364 83.007759) - (xy 153.950436 82.928198) (xy 154.051698 82.826936) (xy 154.131259 82.707864) (xy 154.186062 82.575558) (xy 154.214 82.435103) - (xy 154.214 82.291897) (xy 154.186062 82.151442) (xy 154.131259 82.019136) (xy 154.051698 81.900064) (xy 153.950436 81.798802) - (xy 153.831364 81.719241) (xy 153.699058 81.664438) (xy 153.558603 81.6365) (xy 153.415397 81.6365) (xy 153.274942 81.664438) - (xy 153.142636 81.719241) (xy 153.023564 81.798802) (xy 152.922302 81.900064) (xy 152.842741 82.019136) (xy 152.787938 82.151442) - (xy 152.76 82.291897) (xy 152.76 82.435103) (xy 152.787938 82.575558) (xy 152.842741 82.707864) (xy 152.922302 82.826936) - (xy 153.023564 82.928198) (xy 153.142636 83.007759) (xy 153.177165 83.022061) (xy 153.114942 83.034438) (xy 152.982636 83.089241) - (xy 152.863564 83.168802) (xy 152.762302 83.270064) (xy 152.682741 83.389136) (xy 152.627938 83.521442) (xy 152.6 83.661897) - (xy 151.130001 83.661897) (xy 151.180436 83.628198) (xy 151.281698 83.526936) (xy 151.361259 83.407864) (xy 151.414004 83.280526) - (xy 151.424844 83.287769) (xy 151.55715 83.342572) (xy 151.697605 83.37051) (xy 151.840811 83.37051) (xy 151.981266 83.342572) - (xy 152.113572 83.287769) (xy 152.232644 83.208208) (xy 152.333906 83.106946) (xy 152.413467 82.987874) (xy 152.46827 82.855568) - (xy 152.496208 82.715113) (xy 152.496208 82.571907) (xy 152.46827 82.431452) (xy 152.413467 82.299146) (xy 152.333906 82.180074) - (xy 152.232644 82.078812) (xy 152.113572 81.999251) (xy 151.981266 81.944448) (xy 151.840811 81.91651) (xy 151.697605 81.91651) - (xy 151.55715 81.944448) (xy 151.424844 81.999251) (xy 151.305772 82.078812) (xy 151.20451 82.180074) (xy 151.124949 82.299146) - (xy 151.072204 82.426484) (xy 151.061364 82.419241) (xy 150.929058 82.364438) (xy 150.788603 82.3365) (xy 150.645397 82.3365) - (xy 150.504942 82.364438) (xy 150.372636 82.419241) (xy 150.253564 82.498802) (xy 150.152302 82.600064) (xy 150.072741 82.719136) - (xy 150.017938 82.851442) (xy 149.99 82.991897) (xy 146.303531 82.991897) (xy 146.240436 82.928802) (xy 146.16602 82.879079) - (xy 146.249058 82.862562) (xy 146.381364 82.807759) (xy 146.500436 82.728198) (xy 146.601698 82.626936) (xy 146.681259 82.507864) - (xy 146.736062 82.375558) (xy 146.764 82.235103) (xy 146.764 82.091897) (xy 146.746244 82.00263) (xy 148.110723 82.00263) - (xy 148.110723 82.145836) (xy 148.138661 82.286291) (xy 148.193464 82.418597) (xy 148.273025 82.537669) (xy 148.374287 82.638931) - (xy 148.493359 82.718492) (xy 148.625665 82.773295) (xy 148.76612 82.801233) (xy 148.909326 82.801233) (xy 149.049781 82.773295) - (xy 149.182087 82.718492) (xy 149.301159 82.638931) (xy 149.402421 82.537669) (xy 149.481982 82.418597) (xy 149.536785 82.286291) - (xy 149.564723 82.145836) (xy 149.564723 82.00263) (xy 149.536785 81.862175) (xy 149.481982 81.729869) (xy 149.402421 81.610797) - (xy 149.301159 81.509535) (xy 149.182087 81.429974) (xy 149.049781 81.375171) (xy 148.909326 81.347233) (xy 148.76612 81.347233) - (xy 148.625665 81.375171) (xy 148.493359 81.429974) (xy 148.374287 81.509535) (xy 148.273025 81.610797) (xy 148.193464 81.729869) - (xy 148.138661 81.862175) (xy 148.110723 82.00263) (xy 146.746244 82.00263) (xy 146.736062 81.951442) (xy 146.681259 81.819136) - (xy 146.601698 81.700064) (xy 146.500436 81.598802) (xy 146.381364 81.519241) (xy 146.381224 81.519183) (xy 146.382405 81.513243) - (xy 146.382405 81.370037) (xy 146.366863 81.291897) (xy 149.75 81.291897) (xy 149.75 81.435103) (xy 149.777938 81.575558) - (xy 149.832741 81.707864) (xy 149.912302 81.826936) (xy 150.013564 81.928198) (xy 150.132636 82.007759) (xy 150.264942 82.062562) - (xy 150.405397 82.0905) (xy 150.548603 82.0905) (xy 150.689058 82.062562) (xy 150.821364 82.007759) (xy 150.940436 81.928198) - (xy 151.041698 81.826936) (xy 151.121259 81.707864) (xy 151.176062 81.575558) (xy 151.204 81.435103) (xy 151.204 81.291897) - (xy 151.176062 81.151442) (xy 151.121259 81.019136) (xy 151.041698 80.900064) (xy 150.940436 80.798802) (xy 150.821364 80.719241) - (xy 150.689058 80.664438) (xy 150.548603 80.6365) (xy 150.405397 80.6365) (xy 150.264942 80.664438) (xy 150.132636 80.719241) - (xy 150.013564 80.798802) (xy 149.912302 80.900064) (xy 149.832741 81.019136) (xy 149.777938 81.151442) (xy 149.75 81.291897) - (xy 146.366863 81.291897) (xy 146.354467 81.229582) (xy 146.299664 81.097276) (xy 146.220103 80.978204) (xy 146.118841 80.876942) - (xy 145.999769 80.797381) (xy 145.867463 80.742578) (xy 145.727008 80.71464) (xy 145.583802 80.71464) (xy 145.463359 80.738597) - (xy 145.474 80.685103) (xy 145.474 80.541897) (xy 145.446062 80.401442) (xy 145.391259 80.269136) (xy 145.311698 80.150064) - (xy 145.210436 80.048802) (xy 145.091364 79.969241) (xy 144.959058 79.914438) (xy 144.818603 79.8865) (xy 144.675397 79.8865) - (xy 144.534942 79.914438) (xy 144.402636 79.969241) (xy 144.283564 80.048802) (xy 144.182302 80.150064) (xy 144.102741 80.269136) - (xy 144.047938 80.401442) (xy 144.02 80.541897) (xy 144.02 80.685103) (xy 144.047938 80.825558) (xy 144.102741 80.957864) - (xy 144.141767 81.016271) (xy 144.089058 80.994438) (xy 143.948603 80.9665) (xy 143.805397 80.9665) (xy 143.664942 80.994438) - (xy 143.532636 81.049241) (xy 143.413564 81.128802) (xy 143.312302 81.230064) (xy 143.232741 81.349136) (xy 143.177938 81.481442) - (xy 143.15 81.621897) (xy 139.235736 81.621897) (xy 139.208603 81.6165) (xy 139.065397 81.6165) (xy 138.924942 81.644438) - (xy 138.893782 81.657345) (xy 138.881708 81.639275) (xy 138.780446 81.538013) (xy 138.661374 81.458452) (xy 138.529068 81.403649) - (xy 138.388613 81.375711) (xy 138.245407 81.375711) (xy 138.104952 81.403649) (xy 137.972646 81.458452) (xy 137.853574 81.538013) - (xy 137.752312 81.639275) (xy 137.672751 81.758347) (xy 137.617948 81.890653) (xy 137.59001 82.031108) (xy 137.59001 82.174314) - (xy 137.617948 82.314769) (xy 137.653591 82.40082) (xy 137.591364 82.359241) (xy 137.459058 82.304438) (xy 137.318603 82.2765) - (xy 137.175397 82.2765) (xy 137.034942 82.304438) (xy 136.902636 82.359241) (xy 136.783564 82.438802) (xy 136.682302 82.540064) - (xy 136.602741 82.659136) (xy 136.547938 82.791442) (xy 136.52 82.931897) (xy 134.089933 82.931897) (xy 134.110436 82.918198) - (xy 134.211698 82.816936) (xy 134.291259 82.697864) (xy 134.346062 82.565558) (xy 134.374 82.425103) (xy 134.374 82.281897) - (xy 134.346062 82.141442) (xy 134.291259 82.009136) (xy 134.211698 81.890064) (xy 134.110436 81.788802) (xy 133.991364 81.709241) - (xy 133.859058 81.654438) (xy 133.718603 81.6265) (xy 133.575397 81.6265) (xy 133.434942 81.654438) (xy 133.302636 81.709241) - (xy 133.183564 81.788802) (xy 133.082302 81.890064) (xy 133.002741 82.009136) (xy 132.947938 82.141442) (xy 132.92 82.281897) - (xy 127.071 82.281897) (xy 127.071 79.587609) (xy 127.09838 79.308366) (xy 127.103352 79.291897) (xy 128.5 79.291897) - (xy 128.5 79.435103) (xy 128.527938 79.575558) (xy 128.582741 79.707864) (xy 128.662302 79.826936) (xy 128.763564 79.928198) - (xy 128.882636 80.007759) (xy 129.014942 80.062562) (xy 129.155397 80.0905) (xy 129.298603 80.0905) (xy 129.439058 80.062562) - (xy 129.571364 80.007759) (xy 129.690436 79.928198) (xy 129.791698 79.826936) (xy 129.871259 79.707864) (xy 129.926062 79.575558) - (xy 129.954 79.435103) (xy 129.954 79.291897) (xy 129.926062 79.151442) (xy 129.897256 79.081897) (xy 132.6 79.081897) - (xy 132.6 79.225103) (xy 132.627938 79.365558) (xy 132.682741 79.497864) (xy 132.762302 79.616936) (xy 132.863564 79.718198) - (xy 132.982636 79.797759) (xy 133.114942 79.852562) (xy 133.255397 79.8805) (xy 133.398603 79.8805) (xy 133.539058 79.852562) - (xy 133.671364 79.797759) (xy 133.790436 79.718198) (xy 133.891698 79.616936) (xy 133.971259 79.497864) (xy 134.026062 79.365558) - (xy 134.054 79.225103) (xy 134.054 79.081897) (xy 134.048276 79.053116) (xy 136.204677 79.053116) (xy 136.204677 79.196322) - (xy 136.232615 79.336777) (xy 136.287418 79.469083) (xy 136.366979 79.588155) (xy 136.468241 79.689417) (xy 136.587313 79.768978) - (xy 136.719619 79.823781) (xy 136.860074 79.851719) (xy 137.00328 79.851719) (xy 137.143735 79.823781) (xy 137.276041 79.768978) - (xy 137.301604 79.751897) (xy 137.85 79.751897) (xy 137.85 79.895103) (xy 137.877938 80.035558) (xy 137.932741 80.167864) - (xy 138.012302 80.286936) (xy 138.113564 80.388198) (xy 138.232636 80.467759) (xy 138.364942 80.522562) (xy 138.505397 80.5505) - (xy 138.648603 80.5505) (xy 138.789058 80.522562) (xy 138.921364 80.467759) (xy 139.040436 80.388198) (xy 139.141698 80.286936) - (xy 139.221259 80.167864) (xy 139.276062 80.035558) (xy 139.304 79.895103) (xy 139.304 79.751897) (xy 139.276062 79.611442) - (xy 139.260069 79.57283) (xy 147.832389 79.57283) (xy 147.832389 79.716036) (xy 147.860327 79.856491) (xy 147.91513 79.988797) - (xy 147.994691 80.107869) (xy 148.095953 80.209131) (xy 148.215025 80.288692) (xy 148.347331 80.343495) (xy 148.487786 80.371433) - (xy 148.630992 80.371433) (xy 148.771447 80.343495) (xy 148.903753 80.288692) (xy 149.022825 80.209131) (xy 149.040059 80.191897) - (xy 154.48 80.191897) (xy 154.48 80.335103) (xy 154.507938 80.475558) (xy 154.562741 80.607864) (xy 154.642302 80.726936) - (xy 154.743564 80.828198) (xy 154.862636 80.907759) (xy 154.994942 80.962562) (xy 155.135397 80.9905) (xy 155.278603 80.9905) - (xy 155.419058 80.962562) (xy 155.551364 80.907759) (xy 155.605035 80.871897) (xy 158.21 80.871897) (xy 158.21 81.015103) - (xy 158.237938 81.155558) (xy 158.292741 81.287864) (xy 158.372302 81.406936) (xy 158.473564 81.508198) (xy 158.592636 81.587759) - (xy 158.724942 81.642562) (xy 158.865397 81.6705) (xy 159.008603 81.6705) (xy 159.149058 81.642562) (xy 159.281364 81.587759) - (xy 159.400436 81.508198) (xy 159.501698 81.406936) (xy 159.581259 81.287864) (xy 159.636062 81.155558) (xy 159.664 81.015103) - (xy 159.664 80.871897) (xy 159.636062 80.731442) (xy 159.581259 80.599136) (xy 159.501698 80.480064) (xy 159.400436 80.378802) - (xy 159.281364 80.299241) (xy 159.149058 80.244438) (xy 159.008603 80.2165) (xy 158.865397 80.2165) (xy 158.724942 80.244438) - (xy 158.592636 80.299241) (xy 158.473564 80.378802) (xy 158.372302 80.480064) (xy 158.292741 80.599136) (xy 158.237938 80.731442) - (xy 158.21 80.871897) (xy 155.605035 80.871897) (xy 155.670436 80.828198) (xy 155.771698 80.726936) (xy 155.851259 80.607864) - (xy 155.906062 80.475558) (xy 155.934 80.335103) (xy 155.934 80.191897) (xy 155.906062 80.051442) (xy 155.851259 79.919136) - (xy 155.771698 79.800064) (xy 155.670436 79.698802) (xy 155.551364 79.619241) (xy 155.419058 79.564438) (xy 155.278603 79.5365) - (xy 155.135397 79.5365) (xy 154.994942 79.564438) (xy 154.862636 79.619241) (xy 154.743564 79.698802) (xy 154.642302 79.800064) - (xy 154.562741 79.919136) (xy 154.507938 80.051442) (xy 154.48 80.191897) (xy 149.040059 80.191897) (xy 149.124087 80.107869) - (xy 149.203648 79.988797) (xy 149.258451 79.856491) (xy 149.286389 79.716036) (xy 149.286389 79.57283) (xy 149.258451 79.432375) - (xy 149.233401 79.371897) (xy 149.7 79.371897) (xy 149.7 79.515103) (xy 149.727938 79.655558) (xy 149.782741 79.787864) - (xy 149.862302 79.906936) (xy 149.963564 80.008198) (xy 150.082636 80.087759) (xy 150.214942 80.142562) (xy 150.355397 80.1705) - (xy 150.498603 80.1705) (xy 150.639058 80.142562) (xy 150.771364 80.087759) (xy 150.890436 80.008198) (xy 150.991698 79.906936) - (xy 151.071259 79.787864) (xy 151.126062 79.655558) (xy 151.154 79.515103) (xy 151.154 79.371897) (xy 151.126062 79.231442) - (xy 151.071259 79.099136) (xy 150.991698 78.980064) (xy 150.890436 78.878802) (xy 150.771364 78.799241) (xy 150.639058 78.744438) - (xy 150.498603 78.7165) (xy 150.355397 78.7165) (xy 150.214942 78.744438) (xy 150.082636 78.799241) (xy 149.963564 78.878802) - (xy 149.862302 78.980064) (xy 149.782741 79.099136) (xy 149.727938 79.231442) (xy 149.7 79.371897) (xy 149.233401 79.371897) - (xy 149.203648 79.300069) (xy 149.124087 79.180997) (xy 149.022825 79.079735) (xy 148.903753 79.000174) (xy 148.771447 78.945371) - (xy 148.630992 78.917433) (xy 148.487786 78.917433) (xy 148.347331 78.945371) (xy 148.215025 79.000174) (xy 148.095953 79.079735) - (xy 147.994691 79.180997) (xy 147.91513 79.300069) (xy 147.860327 79.432375) (xy 147.832389 79.57283) (xy 139.260069 79.57283) - (xy 139.221259 79.479136) (xy 139.141698 79.360064) (xy 139.040436 79.258802) (xy 138.921364 79.179241) (xy 138.789058 79.124438) - (xy 138.648603 79.0965) (xy 138.505397 79.0965) (xy 138.364942 79.124438) (xy 138.232636 79.179241) (xy 138.113564 79.258802) - (xy 138.012302 79.360064) (xy 137.932741 79.479136) (xy 137.877938 79.611442) (xy 137.85 79.751897) (xy 137.301604 79.751897) - (xy 137.395113 79.689417) (xy 137.496375 79.588155) (xy 137.575936 79.469083) (xy 137.630739 79.336777) (xy 137.658677 79.196322) - (xy 137.658677 79.053116) (xy 137.630739 78.912661) (xy 137.575936 78.780355) (xy 137.496375 78.661283) (xy 137.395113 78.560021) - (xy 137.276041 78.48046) (xy 137.143735 78.425657) (xy 137.00328 78.397719) (xy 136.860074 78.397719) (xy 136.719619 78.425657) - (xy 136.587313 78.48046) (xy 136.468241 78.560021) (xy 136.366979 78.661283) (xy 136.287418 78.780355) (xy 136.232615 78.912661) - (xy 136.204677 79.053116) (xy 134.048276 79.053116) (xy 134.026062 78.941442) (xy 133.971259 78.809136) (xy 133.891698 78.690064) - (xy 133.790436 78.588802) (xy 133.671364 78.509241) (xy 133.539058 78.454438) (xy 133.398603 78.4265) (xy 133.255397 78.4265) - (xy 133.114942 78.454438) (xy 132.982636 78.509241) (xy 132.863564 78.588802) (xy 132.762302 78.690064) (xy 132.682741 78.809136) - (xy 132.627938 78.941442) (xy 132.6 79.081897) (xy 129.897256 79.081897) (xy 129.871259 79.019136) (xy 129.791698 78.900064) - (xy 129.690436 78.798802) (xy 129.571364 78.719241) (xy 129.439058 78.664438) (xy 129.298603 78.6365) (xy 129.155397 78.6365) - (xy 129.014942 78.664438) (xy 128.882636 78.719241) (xy 128.763564 78.798802) (xy 128.662302 78.900064) (xy 128.582741 79.019136) - (xy 128.527938 79.151442) (xy 128.5 79.291897) (xy 127.103352 79.291897) (xy 127.173055 79.061027) (xy 127.294351 78.832903) - (xy 127.457648 78.632681) (xy 127.656721 78.467993) (xy 127.883994 78.345107) (xy 128.130806 78.268706) (xy 128.408686 78.2395) + (xy 152.662302 78.314054) + (xy 152.582741 78.433126) + (xy 152.527938 78.565432) + (xy 152.5 78.705887) + (xy 152.5 78.849093) + (xy 152.527938 78.989548) + (xy 152.582741 79.121854) + (xy 152.662302 79.240926) + (xy 152.763564 79.342188) + (xy 152.882636 79.421749) + (xy 153.014942 79.476552) + (xy 153.155397 79.50449) + (xy 153.298603 79.50449) + (xy 153.439058 79.476552) + (xy 153.571364 79.421749) + (xy 153.690436 79.342188) + (xy 153.770727 79.261897) + (xy 157.12 79.261897) + (xy 157.12 79.405103) + (xy 157.147938 79.545558) + (xy 157.202741 79.677864) + (xy 157.282302 79.796936) + (xy 157.383564 79.898198) + (xy 157.502636 79.977759) + (xy 157.634942 80.032562) + (xy 157.775397 80.0605) + (xy 157.918603 80.0605) + (xy 158.059058 80.032562) + (xy 158.191364 79.977759) + (xy 158.310436 79.898198) + (xy 158.411698 79.796936) + (xy 158.491259 79.677864) + (xy 158.546062 79.545558) + (xy 158.574 79.405103) + (xy 158.574 79.261897) + (xy 158.546062 79.121442) + (xy 158.491259 78.989136) + (xy 158.411698 78.870064) + (xy 158.310436 78.768802) + (xy 158.191364 78.689241) + (xy 158.059058 78.634438) + (xy 157.918603 78.6065) + (xy 157.775397 78.6065) + (xy 157.634942 78.634438) + (xy 157.502636 78.689241) + (xy 157.383564 78.768802) + (xy 157.282302 78.870064) + (xy 157.202741 78.989136) + (xy 157.147938 79.121442) + (xy 157.12 79.261897) + (xy 153.770727 79.261897) + (xy 153.791698 79.240926) + (xy 153.871259 79.121854) + (xy 153.926062 78.989548) + (xy 153.954 78.849093) + (xy 153.954 78.705887) + (xy 153.926062 78.565432) + (xy 153.871259 78.433126) + (xy 153.791698 78.314054) + (xy 153.717144 78.2395) + (xy 159.108891 78.2395) + (xy 159.388134 78.26688) + (xy 159.635473 78.341555) + (xy 159.863597 78.462851) + (xy 160.063819 78.626148) + (xy 160.228507 78.825221) + (xy 160.351393 79.052494) + (xy 160.427794 79.299306) + (xy 160.457001 79.577195) + (xy 160.457 118.151391) + (xy 160.42962 118.430633) + (xy 160.354943 118.677977) + (xy 160.233649 118.906097) + (xy 160.070352 119.106319) + (xy 159.871279 119.271007) + (xy 159.644008 119.393892) + (xy 159.397194 119.470294) + (xy 159.119314 119.4995) + (xy 128.419109 119.4995) + (xy 128.139867 119.47212) + (xy 127.892523 119.397443) + (xy 127.664403 119.276149) + (xy 127.464181 119.112852) + (xy 127.299493 118.913779) + (xy 127.176608 118.686508) + (xy 127.100206 118.439694) + (xy 127.071 118.161814) + (xy 127.071 115.941858) + (xy 128.402 115.941858) + (xy 128.402 116.341142) + (xy 128.479896 116.732754) + (xy 128.632696 117.101645) + (xy 128.854526 117.433637) + (xy 129.136863 117.715974) + (xy 129.468855 117.937804) + (xy 129.837746 118.090604) + (xy 130.229358 118.1685) + (xy 130.628642 118.1685) + (xy 131.020254 118.090604) + (xy 131.389145 117.937804) + (xy 131.721137 117.715974) + (xy 132.003474 117.433637) + (xy 132.225304 117.101645) + (xy 132.378104 116.732754) + (xy 132.456 116.341142) + (xy 132.456 115.941858) + (xy 132.378104 115.550246) + (xy 132.247122 115.234028) + (xy 134.072 115.234028) + (xy 134.072 115.524972) + (xy 134.12876 115.810325) + (xy 134.240099 116.079122) + (xy 134.401739 116.321033) + (xy 134.607467 116.526761) + (xy 134.849378 116.688401) + (xy 135.118175 116.79974) + (xy 135.403528 116.8565) + (xy 135.694472 116.8565) + (xy 135.979825 116.79974) + (xy 136.248622 116.688401) + (xy 136.490533 116.526761) + (xy 136.696261 116.321033) + (xy 136.799 116.167273) + (xy 136.901739 116.321033) + (xy 137.107467 116.526761) + (xy 137.349378 116.688401) + (xy 137.618175 116.79974) + (xy 137.903528 116.8565) + (xy 138.194472 116.8565) + (xy 138.479825 116.79974) + (xy 138.748622 116.688401) + (xy 138.990533 116.526761) + (xy 139.078173 116.439121) + (xy 139.668984 116.439121) + (xy 139.782585 116.650445) + (xy 140.045261 116.775544) + (xy 140.327294 116.846995) + (xy 140.617848 116.86205) + (xy 140.905757 116.820131) + (xy 141.179955 116.722849) + (xy 141.315415 116.650445) + (xy 141.429016 116.439121) + (xy 140.549 115.559105) + (xy 139.668984 116.439121) + (xy 139.078173 116.439121) + (xy 139.196261 116.321033) + (xy 139.303965 116.159843) + (xy 139.489379 116.259516) + (xy 140.369395 115.3795) + (xy 140.728605 115.3795) + (xy 141.608621 116.259516) + (xy 141.819945 116.145915) + (xy 141.945044 115.883239) + (xy 142.016495 115.601206) + (xy 142.03155 115.310652) + (xy 142.020394 115.234028) + (xy 145.9065 115.234028) + (xy 145.9065 115.524972) + (xy 145.96326 115.810325) + (xy 146.074599 116.079122) + (xy 146.236239 116.321033) + (xy 146.441967 116.526761) + (xy 146.683878 116.688401) + (xy 146.952675 116.79974) + (xy 147.238028 116.8565) + (xy 147.528972 116.8565) + (xy 147.814325 116.79974) + (xy 148.083122 116.688401) + (xy 148.325033 116.526761) + (xy 148.530761 116.321033) + (xy 148.6335 116.167273) + (xy 148.736239 116.321033) + (xy 148.941967 116.526761) + (xy 149.183878 116.688401) + (xy 149.452675 116.79974) + (xy 149.738028 116.8565) + (xy 150.028972 116.8565) + (xy 150.314325 116.79974) + (xy 150.583122 116.688401) + (xy 150.825033 116.526761) + (xy 150.912673 116.439121) + (xy 151.503484 116.439121) + (xy 151.617085 116.650445) + (xy 151.879761 116.775544) + (xy 152.161794 116.846995) + (xy 152.452348 116.86205) + (xy 152.740257 116.820131) + (xy 153.014455 116.722849) + (xy 153.149915 116.650445) + (xy 153.263516 116.439121) + (xy 152.3835 115.559105) + (xy 151.503484 116.439121) + (xy 150.912673 116.439121) + (xy 151.030761 116.321033) + (xy 151.138465 116.159843) + (xy 151.323879 116.259516) + (xy 152.203895 115.3795) + (xy 152.563105 115.3795) + (xy 153.443121 116.259516) + (xy 153.654445 116.145915) + (xy 153.751626 115.941858) + (xy 155.072 115.941858) + (xy 155.072 116.341142) + (xy 155.149896 116.732754) + (xy 155.302696 117.101645) + (xy 155.524526 117.433637) + (xy 155.806863 117.715974) + (xy 156.138855 117.937804) + (xy 156.507746 118.090604) + (xy 156.899358 118.1685) + (xy 157.298642 118.1685) + (xy 157.690254 118.090604) + (xy 158.059145 117.937804) + (xy 158.391137 117.715974) + (xy 158.673474 117.433637) + (xy 158.895304 117.101645) + (xy 159.048104 116.732754) + (xy 159.126 116.341142) + (xy 159.126 115.941858) + (xy 159.048104 115.550246) + (xy 158.895304 115.181355) + (xy 158.673474 114.849363) + (xy 158.391137 114.567026) + (xy 158.059145 114.345196) + (xy 157.690254 114.192396) + (xy 157.298642 114.1145) + (xy 156.899358 114.1145) + (xy 156.507746 114.192396) + (xy 156.138855 114.345196) + (xy 155.806863 114.567026) + (xy 155.524526 114.849363) + (xy 155.302696 115.181355) + (xy 155.149896 115.550246) + (xy 155.072 115.941858) + (xy 153.751626 115.941858) + (xy 153.779544 115.883239) + (xy 153.850995 115.601206) + (xy 153.86605 115.310652) + (xy 153.824131 115.022743) + (xy 153.726849 114.748545) + (xy 153.654445 114.613085) + (xy 153.443121 114.499484) + (xy 152.563105 115.3795) + (xy 152.203895 115.3795) + (xy 151.323879 114.499484) + (xy 151.138465 114.599157) + (xy 151.030761 114.437967) + (xy 150.912673 114.319879) + (xy 151.503484 114.319879) + (xy 152.3835 115.199895) + (xy 153.263516 114.319879) + (xy 153.149915 114.108555) + (xy 152.887239 113.983456) + (xy 152.605206 113.912005) + (xy 152.314652 113.89695) + (xy 152.026743 113.938869) + (xy 151.752545 114.036151) + (xy 151.617085 114.108555) + (xy 151.503484 114.319879) + (xy 150.912673 114.319879) + (xy 150.825033 114.232239) + (xy 150.583122 114.070599) + (xy 150.314325 113.95926) + (xy 150.028972 113.9025) + (xy 149.738028 113.9025) + (xy 149.452675 113.95926) + (xy 149.183878 114.070599) + (xy 148.941967 114.232239) + (xy 148.736239 114.437967) + (xy 148.6335 114.591727) + (xy 148.530761 114.437967) + (xy 148.325033 114.232239) + (xy 148.083122 114.070599) + (xy 147.814325 113.95926) + (xy 147.528972 113.9025) + (xy 147.238028 113.9025) + (xy 146.952675 113.95926) + (xy 146.683878 114.070599) + (xy 146.441967 114.232239) + (xy 146.236239 114.437967) + (xy 146.074599 114.679878) + (xy 145.96326 114.948675) + (xy 145.9065 115.234028) + (xy 142.020394 115.234028) + (xy 141.989631 115.022743) + (xy 141.892349 114.748545) + (xy 141.819945 114.613085) + (xy 141.608621 114.499484) + (xy 140.728605 115.3795) + (xy 140.369395 115.3795) + (xy 139.489379 114.499484) + (xy 139.303965 114.599157) + (xy 139.196261 114.437967) + (xy 139.078173 114.319879) + (xy 139.668984 114.319879) + (xy 140.549 115.199895) + (xy 141.429016 114.319879) + (xy 141.315415 114.108555) + (xy 141.052739 113.983456) + (xy 140.770706 113.912005) + (xy 140.480152 113.89695) + (xy 140.192243 113.938869) + (xy 139.918045 114.036151) + (xy 139.782585 114.108555) + (xy 139.668984 114.319879) + (xy 139.078173 114.319879) + (xy 138.990533 114.232239) + (xy 138.748622 114.070599) + (xy 138.479825 113.95926) + (xy 138.194472 113.9025) + (xy 137.903528 113.9025) + (xy 137.618175 113.95926) + (xy 137.349378 114.070599) + (xy 137.107467 114.232239) + (xy 136.901739 114.437967) + (xy 136.799 114.591727) + (xy 136.696261 114.437967) + (xy 136.490533 114.232239) + (xy 136.248622 114.070599) + (xy 135.979825 113.95926) + (xy 135.694472 113.9025) + (xy 135.403528 113.9025) + (xy 135.118175 113.95926) + (xy 134.849378 114.070599) + (xy 134.607467 114.232239) + (xy 134.401739 114.437967) + (xy 134.240099 114.679878) + (xy 134.12876 114.948675) + (xy 134.072 115.234028) + (xy 132.247122 115.234028) + (xy 132.225304 115.181355) + (xy 132.003474 114.849363) + (xy 131.721137 114.567026) + (xy 131.389145 114.345196) + (xy 131.020254 114.192396) + (xy 130.628642 114.1145) + (xy 130.229358 114.1145) + (xy 129.837746 114.192396) + (xy 129.468855 114.345196) + (xy 129.136863 114.567026) + (xy 128.854526 114.849363) + (xy 128.632696 115.181355) + (xy 128.479896 115.550246) + (xy 128.402 115.941858) + (xy 127.071 115.941858) + (xy 127.071 112.694546) + (xy 137.222 112.694546) + (xy 137.222 112.85745) + (xy 137.253782 113.017225) + (xy 137.316123 113.167729) + (xy 137.406628 113.303179) + (xy 137.521819 113.41837) + (xy 137.657269 113.508875) + (xy 137.807773 113.571216) + (xy 137.967548 113.602998) + (xy 138.130452 113.602998) + (xy 138.290227 113.571216) + (xy 138.440731 113.508875) + (xy 138.576181 113.41837) + (xy 138.691372 113.303179) + (xy 138.781877 113.167729) + (xy 138.844218 113.017225) + (xy 138.876 112.85745) + (xy 138.876 112.694546) + (xy 138.844218 112.534771) + (xy 138.781877 112.384267) + (xy 138.691372 112.248817) + (xy 138.576181 112.133626) + (xy 138.440731 112.043121) + (xy 138.290227 111.98078) + (xy 138.130452 111.948998) + (xy 137.967548 111.948998) + (xy 137.807773 111.98078) + (xy 137.657269 112.043121) + (xy 137.521819 112.133626) + (xy 137.406628 112.248817) + (xy 137.316123 112.384267) + (xy 137.253782 112.534771) + (xy 137.222 112.694546) + (xy 127.071 112.694546) + (xy 127.071 111.170548) + (xy 148.144 111.170548) + (xy 148.144 111.333452) + (xy 148.175782 111.493227) + (xy 148.238123 111.643731) + (xy 148.328628 111.779181) + (xy 148.443819 111.894372) + (xy 148.579269 111.984877) + (xy 148.729773 112.047218) + (xy 148.889548 112.079) + (xy 149.052452 112.079) + (xy 149.212227 112.047218) + (xy 149.362731 111.984877) + (xy 149.498181 111.894372) + (xy 149.613372 111.779181) + (xy 149.703877 111.643731) + (xy 149.766218 111.493227) + (xy 149.798 111.333452) + (xy 149.798 111.170548) + (xy 149.766218 111.010773) + (xy 149.703877 110.860269) + (xy 149.613372 110.724819) + (xy 149.498181 110.609628) + (xy 149.362731 110.519123) + (xy 149.212227 110.456782) + (xy 149.052452 110.425) + (xy 148.889548 110.425) + (xy 148.729773 110.456782) + (xy 148.579269 110.519123) + (xy 148.443819 110.609628) + (xy 148.328628 110.724819) + (xy 148.238123 110.860269) + (xy 148.175782 111.010773) + (xy 148.144 111.170548) + (xy 127.071 111.170548) + (xy 127.071 109.101) + (xy 130.261934 109.101) + (xy 130.261934 110.101) + (xy 130.270178 110.184707) + (xy 130.294595 110.265196) + (xy 130.334245 110.339376) + (xy 130.387605 110.404395) + (xy 130.452624 110.457755) + (xy 130.526804 110.497405) + (xy 130.607293 110.521822) + (xy 130.691 110.530066) + (xy 131.691 110.530066) + (xy 131.774707 110.521822) + (xy 131.855196 110.497405) + (xy 131.929376 110.457755) + (xy 131.994395 110.404395) + (xy 132.047755 110.339376) + (xy 132.087405 110.265196) + (xy 132.111822 110.184707) + (xy 132.120066 110.101) + (xy 132.120066 109.866888) + (xy 138.727167 109.866888) + (xy 138.727167 110.010094) + (xy 138.755105 110.150549) + (xy 138.809908 110.282855) + (xy 138.889469 110.401927) + (xy 138.990731 110.503189) + (xy 139.109803 110.58275) + (xy 139.242109 110.637553) + (xy 139.382564 110.665491) + (xy 139.52577 110.665491) + (xy 139.666225 110.637553) + (xy 139.798531 110.58275) + (xy 139.917603 110.503189) + (xy 140.018865 110.401927) + (xy 140.098426 110.282855) + (xy 140.153229 110.150549) + (xy 140.181167 110.010094) + (xy 140.181167 109.866888) + (xy 140.153229 109.726433) + (xy 140.098426 109.594127) + (xy 140.018865 109.475055) + (xy 139.917603 109.373793) + (xy 139.798531 109.294232) + (xy 139.666225 109.239429) + (xy 139.52577 109.211491) + (xy 139.382564 109.211491) + (xy 139.242109 109.239429) + (xy 139.109803 109.294232) + (xy 138.990731 109.373793) + (xy 138.889469 109.475055) + (xy 138.809908 109.594127) + (xy 138.755105 109.726433) + (xy 138.727167 109.866888) + (xy 132.120066 109.866888) + (xy 132.120066 109.101) + (xy 132.111822 109.017293) + (xy 132.087405 108.936804) + (xy 132.047755 108.862624) + (xy 131.994395 108.797605) + (xy 131.994216 108.797458) + (xy 132.012496 108.770099) + (xy 132.082376 108.601396) + (xy 132.118 108.422301) + (xy 132.118 108.239699) + (xy 132.082376 108.060604) + (xy 132.064057 108.016377) + (xy 135.170631 108.016377) + (xy 135.170631 108.159583) + (xy 135.198569 108.300038) + (xy 135.253372 108.432344) + (xy 135.332933 108.551416) + (xy 135.434195 108.652678) + (xy 135.553267 108.732239) + (xy 135.685573 108.787042) + (xy 135.826028 108.81498) + (xy 135.969234 108.81498) + (xy 136.109689 108.787042) + (xy 136.241995 108.732239) + (xy 136.361067 108.652678) + (xy 136.462329 108.551416) + (xy 136.54189 108.432344) + (xy 136.596693 108.300038) + (xy 136.624631 108.159583) + (xy 136.624631 108.016377) + (xy 136.596693 107.875922) + (xy 136.590884 107.861897) + (xy 138.71 107.861897) + (xy 138.71 108.005103) + (xy 138.737938 108.145558) + (xy 138.792741 108.277864) + (xy 138.872302 108.396936) + (xy 138.973564 108.498198) + (xy 139.092636 108.577759) + (xy 139.224942 108.632562) + (xy 139.365397 108.6605) + (xy 139.508603 108.6605) + (xy 139.649058 108.632562) + (xy 139.781364 108.577759) + (xy 139.900436 108.498198) + (xy 140.001698 108.396936) + (xy 140.081259 108.277864) + (xy 140.136062 108.145558) + (xy 140.164 108.005103) + (xy 140.164 107.861897) + (xy 140.136062 107.721442) + (xy 140.098972 107.631897) + (xy 150.47 107.631897) + (xy 150.47 107.775103) + (xy 150.497938 107.915558) + (xy 150.552741 108.047864) + (xy 150.632302 108.166936) + (xy 150.733564 108.268198) + (xy 150.852636 108.347759) + (xy 150.984942 108.402562) + (xy 151.125397 108.4305) + (xy 151.268603 108.4305) + (xy 151.409058 108.402562) + (xy 151.541364 108.347759) + (xy 151.660436 108.268198) + (xy 151.761698 108.166936) + (xy 151.841259 108.047864) + (xy 151.896062 107.915558) + (xy 151.924 107.775103) + (xy 151.924 107.631897) + (xy 151.896062 107.491442) + (xy 151.841259 107.359136) + (xy 151.761698 107.240064) + (xy 151.660436 107.138802) + (xy 151.541364 107.059241) + (xy 151.409058 107.004438) + (xy 151.268603 106.9765) + (xy 151.125397 106.9765) + (xy 150.984942 107.004438) + (xy 150.852636 107.059241) + (xy 150.733564 107.138802) + (xy 150.632302 107.240064) + (xy 150.552741 107.359136) + (xy 150.497938 107.491442) + (xy 150.47 107.631897) + (xy 140.098972 107.631897) + (xy 140.081259 107.589136) + (xy 140.001698 107.470064) + (xy 139.900436 107.368802) + (xy 139.781364 107.289241) + (xy 139.649058 107.234438) + (xy 139.508603 107.2065) + (xy 139.365397 107.2065) + (xy 139.224942 107.234438) + (xy 139.092636 107.289241) + (xy 138.973564 107.368802) + (xy 138.872302 107.470064) + (xy 138.792741 107.589136) + (xy 138.737938 107.721442) + (xy 138.71 107.861897) + (xy 136.590884 107.861897) + (xy 136.54189 107.743616) + (xy 136.462329 107.624544) + (xy 136.361067 107.523282) + (xy 136.241995 107.443721) + (xy 136.109689 107.388918) + (xy 135.969234 107.36098) + (xy 135.826028 107.36098) + (xy 135.685573 107.388918) + (xy 135.553267 107.443721) + (xy 135.434195 107.523282) + (xy 135.332933 107.624544) + (xy 135.253372 107.743616) + (xy 135.198569 107.875922) + (xy 135.170631 108.016377) + (xy 132.064057 108.016377) + (xy 132.012496 107.891901) + (xy 131.911048 107.740072) + (xy 131.781928 107.610952) + (xy 131.630099 107.509504) + (xy 131.461396 107.439624) + (xy 131.282301 107.404) + (xy 131.099699 107.404) + (xy 130.920604 107.439624) + (xy 130.751901 107.509504) + (xy 130.600072 107.610952) + (xy 130.470952 107.740072) + (xy 130.369504 107.891901) + (xy 130.299624 108.060604) + (xy 130.264 108.239699) + (xy 130.264 108.422301) + (xy 130.299624 108.601396) + (xy 130.369504 108.770099) + (xy 130.387784 108.797458) + (xy 130.387605 108.797605) + (xy 130.334245 108.862624) + (xy 130.294595 108.936804) + (xy 130.270178 109.017293) + (xy 130.261934 109.101) + (xy 127.071 109.101) + (xy 127.071 106.41954) + (xy 132.483994 106.41954) + (xy 132.483994 106.582444) + (xy 132.515776 106.742219) + (xy 132.578117 106.892723) + (xy 132.668622 107.028173) + (xy 132.783813 107.143364) + (xy 132.919263 107.233869) + (xy 133.069767 107.29621) + (xy 133.229542 107.327992) + (xy 133.392446 107.327992) + (xy 133.552221 107.29621) + (xy 133.702725 107.233869) + (xy 133.838175 107.143364) + (xy 133.953366 107.028173) + (xy 134.043871 106.892723) + (xy 134.106212 106.742219) + (xy 134.137994 106.582444) + (xy 134.137994 106.506179) + (xy 137.098012 106.506179) + (xy 137.098012 106.649385) + (xy 137.12595 106.78984) + (xy 137.180753 106.922146) + (xy 137.260314 107.041218) + (xy 137.361576 107.14248) + (xy 137.480648 107.222041) + (xy 137.612954 107.276844) + (xy 137.753409 107.304782) + (xy 137.896615 107.304782) + (xy 138.03707 107.276844) + (xy 138.169376 107.222041) + (xy 138.288448 107.14248) + (xy 138.38971 107.041218) + (xy 138.469271 106.922146) + (xy 138.524074 106.78984) + (xy 138.552012 106.649385) + (xy 138.552012 106.506179) + (xy 138.524074 106.365724) + (xy 138.469271 106.233418) + (xy 138.38971 106.114346) + (xy 138.317261 106.041897) + (xy 150.39 106.041897) + (xy 150.39 106.185103) + (xy 150.417938 106.325558) + (xy 150.472741 106.457864) + (xy 150.552302 106.576936) + (xy 150.653564 106.678198) + (xy 150.772636 106.757759) + (xy 150.904942 106.812562) + (xy 151.045397 106.8405) + (xy 151.188603 106.8405) + (xy 151.329058 106.812562) + (xy 151.461364 106.757759) + (xy 151.580436 106.678198) + (xy 151.681698 106.576936) + (xy 151.761259 106.457864) + (xy 151.816062 106.325558) + (xy 151.844 106.185103) + (xy 151.844 106.041897) + (xy 151.816062 105.901442) + (xy 151.761259 105.769136) + (xy 151.681698 105.650064) + (xy 151.580436 105.548802) + (xy 151.461364 105.469241) + (xy 151.329058 105.414438) + (xy 151.188603 105.3865) + (xy 151.045397 105.3865) + (xy 150.904942 105.414438) + (xy 150.772636 105.469241) + (xy 150.653564 105.548802) + (xy 150.552302 105.650064) + (xy 150.472741 105.769136) + (xy 150.417938 105.901442) + (xy 150.39 106.041897) + (xy 138.317261 106.041897) + (xy 138.288448 106.013084) + (xy 138.169376 105.933523) + (xy 138.03707 105.87872) + (xy 137.896615 105.850782) + (xy 137.753409 105.850782) + (xy 137.612954 105.87872) + (xy 137.480648 105.933523) + (xy 137.361576 106.013084) + (xy 137.260314 106.114346) + (xy 137.180753 106.233418) + (xy 137.12595 106.365724) + (xy 137.098012 106.506179) + (xy 134.137994 106.506179) + (xy 134.137994 106.41954) + (xy 134.106212 106.259765) + (xy 134.043871 106.109261) + (xy 133.953366 105.973811) + (xy 133.838175 105.85862) + (xy 133.702725 105.768115) + (xy 133.552221 105.705774) + (xy 133.392446 105.673992) + (xy 133.229542 105.673992) + (xy 133.069767 105.705774) + (xy 132.919263 105.768115) + (xy 132.783813 105.85862) + (xy 132.668622 105.973811) + (xy 132.578117 106.109261) + (xy 132.515776 106.259765) + (xy 132.483994 106.41954) + (xy 127.071 106.41954) + (xy 127.071 104.101897) + (xy 143.42 104.101897) + (xy 143.42 104.245103) + (xy 143.447938 104.385558) + (xy 143.502741 104.517864) + (xy 143.582302 104.636936) + (xy 143.683564 104.738198) + (xy 143.802636 104.817759) + (xy 143.934942 104.872562) + (xy 144.075397 104.9005) + (xy 144.218603 104.9005) + (xy 144.359058 104.872562) + (xy 144.491364 104.817759) + (xy 144.610436 104.738198) + (xy 144.711698 104.636936) + (xy 144.791259 104.517864) + (xy 144.846062 104.385558) + (xy 144.874 104.245103) + (xy 144.874 104.101897) + (xy 144.846062 103.961442) + (xy 144.791259 103.829136) + (xy 144.711698 103.710064) + (xy 144.610436 103.608802) + (xy 144.491364 103.529241) + (xy 144.359058 103.474438) + (xy 144.218603 103.4465) + (xy 144.075397 103.4465) + (xy 143.934942 103.474438) + (xy 143.802636 103.529241) + (xy 143.683564 103.608802) + (xy 143.582302 103.710064) + (xy 143.502741 103.829136) + (xy 143.447938 103.961442) + (xy 143.42 104.101897) + (xy 127.071 104.101897) + (xy 127.071 103.5295) + (xy 129.911934 103.5295) + (xy 129.920178 103.613207) + (xy 129.944595 103.693696) + (xy 129.984245 103.767876) + (xy 130.037605 103.832895) + (xy 130.102624 103.886255) + (xy 130.176804 103.925905) + (xy 130.257293 103.950322) + (xy 130.341 103.958566) + (xy 130.95725 103.9565) + (xy 131.064 103.84975) + (xy 131.064 102.8065) + (xy 131.318 102.8065) + (xy 131.318 103.84975) + (xy 131.42475 103.9565) + (xy 132.041 103.958566) + (xy 132.124707 103.950322) + (xy 132.205196 103.925905) + (xy 132.279376 103.886255) + (xy 132.344395 103.832895) + (xy 132.397755 103.767876) + (xy 132.437405 103.693696) + (xy 132.461822 103.613207) + (xy 132.470066 103.5295) + (xy 132.468 102.91325) + (xy 132.36125 102.8065) + (xy 131.318 102.8065) + (xy 131.064 102.8065) + (xy 130.02075 102.8065) + (xy 129.914 102.91325) + (xy 129.911934 103.5295) + (xy 127.071 103.5295) + (xy 127.071 102.631897) + (xy 142.06 102.631897) + (xy 142.06 102.775103) + (xy 142.087938 102.915558) + (xy 142.142741 103.047864) + (xy 142.222302 103.166936) + (xy 142.323564 103.268198) + (xy 142.442636 103.347759) + (xy 142.574942 103.402562) + (xy 142.715397 103.4305) + (xy 142.858603 103.4305) + (xy 142.999058 103.402562) + (xy 143.131364 103.347759) + (xy 143.250436 103.268198) + (xy 143.351698 103.166936) + (xy 143.395141 103.101918) + (xy 147.677908 103.101918) + (xy 147.677908 103.245124) + (xy 147.705846 103.385579) + (xy 147.760649 103.517885) + (xy 147.84021 103.636957) + (xy 147.941472 103.738219) + (xy 148.060544 103.81778) + (xy 148.19285 103.872583) + (xy 148.333305 103.900521) + (xy 148.476511 103.900521) + (xy 148.616966 103.872583) + (xy 148.749272 103.81778) + (xy 148.868344 103.738219) + (xy 148.969606 103.636957) + (xy 148.998682 103.593441) + (xy 152.501388 103.593441) + (xy 152.501388 103.736647) + (xy 152.529326 103.877102) + (xy 152.584129 104.009408) + (xy 152.66369 104.12848) + (xy 152.764952 104.229742) + (xy 152.884024 104.309303) + (xy 153.01633 104.364106) + (xy 153.156785 104.392044) + (xy 153.299991 104.392044) + (xy 153.440446 104.364106) + (xy 153.572752 104.309303) + (xy 153.691824 104.229742) + (xy 153.793086 104.12848) + (xy 153.872647 104.009408) + (xy 153.92745 103.877102) + (xy 153.955388 103.736647) + (xy 153.955388 103.593441) + (xy 153.94267 103.5295) + (xy 155.311934 103.5295) + (xy 155.320178 103.613207) + (xy 155.344595 103.693696) + (xy 155.384245 103.767876) + (xy 155.437605 103.832895) + (xy 155.502624 103.886255) + (xy 155.576804 103.925905) + (xy 155.657293 103.950322) + (xy 155.741 103.958566) + (xy 156.35725 103.9565) + (xy 156.464 103.84975) + (xy 156.464 102.8065) + (xy 156.718 102.8065) + (xy 156.718 103.84975) + (xy 156.82475 103.9565) + (xy 157.441 103.958566) + (xy 157.524707 103.950322) + (xy 157.605196 103.925905) + (xy 157.679376 103.886255) + (xy 157.744395 103.832895) + (xy 157.797755 103.767876) + (xy 157.837405 103.693696) + (xy 157.861822 103.613207) + (xy 157.870066 103.5295) + (xy 157.868 102.91325) + (xy 157.76125 102.8065) + (xy 156.718 102.8065) + (xy 156.464 102.8065) + (xy 155.42075 102.8065) + (xy 155.314 102.91325) + (xy 155.311934 103.5295) + (xy 153.94267 103.5295) + (xy 153.92745 103.452986) + (xy 153.872647 103.32068) + (xy 153.793086 103.201608) + (xy 153.691824 103.100346) + (xy 153.572752 103.020785) + (xy 153.440446 102.965982) + (xy 153.299991 102.938044) + (xy 153.156785 102.938044) + (xy 153.01633 102.965982) + (xy 152.884024 103.020785) + (xy 152.764952 103.100346) + (xy 152.66369 103.201608) + (xy 152.584129 103.32068) + (xy 152.529326 103.452986) + (xy 152.501388 103.593441) + (xy 148.998682 103.593441) + (xy 149.049167 103.517885) + (xy 149.10397 103.385579) + (xy 149.131908 103.245124) + (xy 149.131908 103.101918) + (xy 149.10397 102.961463) + (xy 149.049167 102.829157) + (xy 148.969606 102.710085) + (xy 148.868344 102.608823) + (xy 148.803261 102.565336) + (xy 151.269959 102.565336) + (xy 151.269959 102.708542) + (xy 151.297897 102.848997) + (xy 151.3527 102.981303) + (xy 151.432261 103.100375) + (xy 151.533523 103.201637) + (xy 151.652595 103.281198) + (xy 151.784901 103.336001) + (xy 151.925356 103.363939) + (xy 152.068562 103.363939) + (xy 152.209017 103.336001) + (xy 152.341323 103.281198) + (xy 152.460395 103.201637) + (xy 152.561657 103.100375) + (xy 152.641218 102.981303) + (xy 152.696021 102.848997) + (xy 152.723959 102.708542) + (xy 152.723959 102.565336) + (xy 152.696021 102.424881) + (xy 152.641218 102.292575) + (xy 152.561657 102.173503) + (xy 152.536978 102.148824) + (xy 152.669058 102.122552) + (xy 152.801364 102.067749) + (xy 152.920436 101.988188) + (xy 153.021698 101.886926) + (xy 153.101259 101.767854) + (xy 153.13211 101.693373) + (xy 153.162961 101.767854) + (xy 153.242522 101.886926) + (xy 153.343784 101.988188) + (xy 153.462856 102.067749) + (xy 153.595162 102.122552) + (xy 153.735617 102.15049) + (xy 153.878823 102.15049) + (xy 154.019278 102.122552) + (xy 154.151584 102.067749) + (xy 154.270656 101.988188) + (xy 154.371918 101.886926) + (xy 154.410288 101.8295) + (xy 155.311934 101.8295) + (xy 155.314 102.44575) + (xy 155.42075 102.5525) + (xy 156.464 102.5525) + (xy 156.464 102.5325) + (xy 156.718 102.5325) + (xy 156.718 102.5525) + (xy 157.76125 102.5525) + (xy 157.868 102.44575) + (xy 157.870066 101.8295) + (xy 157.861822 101.745793) + (xy 157.837405 101.665304) + (xy 157.797755 101.591124) + (xy 157.744395 101.526105) + (xy 157.679376 101.472745) + (xy 157.605196 101.433095) + (xy 157.524707 101.408678) + (xy 157.441 101.400434) + (xy 156.82475 101.4025) + (xy 156.718002 101.509248) + (xy 156.718002 101.416256) + (xy 156.963487 101.367426) + (xy 157.195886 101.271163) + (xy 157.40504 101.131411) + (xy 157.582911 100.95354) + (xy 157.722663 100.744386) + (xy 157.818926 100.511987) + (xy 157.868 100.265274) + (xy 157.868 100.013726) + (xy 157.818926 99.767013) + (xy 157.722663 99.534614) + (xy 157.582911 99.32546) + (xy 157.40504 99.147589) + (xy 157.195886 99.007837) + (xy 156.963487 98.911574) + (xy 156.751966 98.8695) + (xy 156.963487 98.827426) + (xy 157.195886 98.731163) + (xy 157.40504 98.591411) + (xy 157.582911 98.41354) + (xy 157.722663 98.204386) + (xy 157.818926 97.971987) + (xy 157.868 97.725274) + (xy 157.868 97.473726) + (xy 157.818926 97.227013) + (xy 157.722663 96.994614) + (xy 157.582911 96.78546) + (xy 157.40504 96.607589) + (xy 157.195886 96.467837) + (xy 156.963487 96.371574) + (xy 156.751966 96.3295) + (xy 156.963487 96.287426) + (xy 157.195886 96.191163) + (xy 157.40504 96.051411) + (xy 157.582911 95.87354) + (xy 157.722663 95.664386) + (xy 157.818926 95.431987) + (xy 157.868 95.185274) + (xy 157.868 94.933726) + (xy 157.818926 94.687013) + (xy 157.722663 94.454614) + (xy 157.582911 94.24546) + (xy 157.40504 94.067589) + (xy 157.195886 93.927837) + (xy 156.963487 93.831574) + (xy 156.716774 93.7825) + (xy 156.465226 93.7825) + (xy 156.218513 93.831574) + (xy 155.986114 93.927837) + (xy 155.77696 94.067589) + (xy 155.599089 94.24546) + (xy 155.459337 94.454614) + (xy 155.363074 94.687013) + (xy 155.314 94.933726) + (xy 155.314 95.185274) + (xy 155.363074 95.431987) + (xy 155.459337 95.664386) + (xy 155.599089 95.87354) + (xy 155.77696 96.051411) + (xy 155.986114 96.191163) + (xy 156.218513 96.287426) + (xy 156.430034 96.3295) + (xy 156.218513 96.371574) + (xy 155.986114 96.467837) + (xy 155.77696 96.607589) + (xy 155.599089 96.78546) + (xy 155.459337 96.994614) + (xy 155.363074 97.227013) + (xy 155.314 97.473726) + (xy 155.314 97.725274) + (xy 155.363074 97.971987) + (xy 155.459337 98.204386) + (xy 155.599089 98.41354) + (xy 155.77696 98.591411) + (xy 155.986114 98.731163) + (xy 156.218513 98.827426) + (xy 156.430034 98.8695) + (xy 156.218513 98.911574) + (xy 155.986114 99.007837) + (xy 155.77696 99.147589) + (xy 155.599089 99.32546) + (xy 155.459337 99.534614) + (xy 155.363074 99.767013) + (xy 155.314 100.013726) + (xy 155.314 100.265274) + (xy 155.363074 100.511987) + (xy 155.459337 100.744386) + (xy 155.599089 100.95354) + (xy 155.77696 101.131411) + (xy 155.986114 101.271163) + (xy 156.218513 101.367426) + (xy 156.463998 101.416256) + (xy 156.463998 101.509248) + (xy 156.35725 101.4025) + (xy 155.741 101.400434) + (xy 155.657293 101.408678) + (xy 155.576804 101.433095) + (xy 155.502624 101.472745) + (xy 155.437605 101.526105) + (xy 155.384245 101.591124) + (xy 155.344595 101.665304) + (xy 155.320178 101.745793) + (xy 155.311934 101.8295) + (xy 154.410288 101.8295) + (xy 154.451479 101.767854) + (xy 154.506282 101.635548) + (xy 154.53422 101.495093) + (xy 154.53422 101.351887) + (xy 154.506282 101.211432) + (xy 154.451479 101.079126) + (xy 154.371918 100.960054) + (xy 154.270656 100.858792) + (xy 154.151584 100.779231) + (xy 154.019278 100.724428) + (xy 153.878823 100.69649) + (xy 153.735617 100.69649) + (xy 153.595162 100.724428) + (xy 153.462856 100.779231) + (xy 153.343784 100.858792) + (xy 153.242522 100.960054) + (xy 153.162961 101.079126) + (xy 153.13211 101.153607) + (xy 153.101259 101.079126) + (xy 153.021698 100.960054) + (xy 152.920436 100.858792) + (xy 152.801364 100.779231) + (xy 152.669058 100.724428) + (xy 152.528603 100.69649) + (xy 152.385397 100.69649) + (xy 152.244942 100.724428) + (xy 152.112636 100.779231) + (xy 151.993564 100.858792) + (xy 151.892302 100.960054) + (xy 151.812741 101.079126) + (xy 151.757938 101.211432) + (xy 151.73 101.351887) + (xy 151.73 101.495093) + (xy 151.757938 101.635548) + (xy 151.812741 101.767854) + (xy 151.892302 101.886926) + (xy 151.916981 101.911605) + (xy 151.784901 101.937877) + (xy 151.652595 101.99268) + (xy 151.533523 102.072241) + (xy 151.432261 102.173503) + (xy 151.3527 102.292575) + (xy 151.297897 102.424881) + (xy 151.269959 102.565336) + (xy 148.803261 102.565336) + (xy 148.749272 102.529262) + (xy 148.616966 102.474459) + (xy 148.476511 102.446521) + (xy 148.333305 102.446521) + (xy 148.19285 102.474459) + (xy 148.060544 102.529262) + (xy 147.941472 102.608823) + (xy 147.84021 102.710085) + (xy 147.760649 102.829157) + (xy 147.705846 102.961463) + (xy 147.677908 103.101918) + (xy 143.395141 103.101918) + (xy 143.431259 103.047864) + (xy 143.486062 102.915558) + (xy 143.514 102.775103) + (xy 143.514 102.631897) + (xy 143.486062 102.491442) + (xy 143.431259 102.359136) + (xy 143.351698 102.240064) + (xy 143.250436 102.138802) + (xy 143.235833 102.129045) + (xy 143.311364 102.097759) + (xy 143.430436 102.018198) + (xy 143.46 101.988634) + (xy 143.46 102.055103) + (xy 143.487938 102.195558) + (xy 143.542741 102.327864) + (xy 143.622302 102.446936) + (xy 143.723564 102.548198) + (xy 143.842636 102.627759) + (xy 143.974942 102.682562) + (xy 144.115397 102.7105) + (xy 144.258603 102.7105) + (xy 144.399058 102.682562) + (xy 144.531364 102.627759) + (xy 144.650436 102.548198) + (xy 144.751698 102.446936) + (xy 144.831259 102.327864) + (xy 144.886062 102.195558) + (xy 144.914 102.055103) + (xy 144.914 101.911897) + (xy 144.886062 101.771442) + (xy 144.831259 101.639136) + (xy 144.751698 101.520064) + (xy 144.650436 101.418802) + (xy 144.531364 101.339241) + (xy 144.399058 101.284438) + (xy 144.258603 101.2565) + (xy 144.115397 101.2565) + (xy 143.974942 101.284438) + (xy 143.842636 101.339241) + (xy 143.723564 101.418802) + (xy 143.694 101.448366) + (xy 143.694 101.381897) + (xy 143.666062 101.241442) + (xy 143.618655 101.126991) + (xy 150.114366 101.126991) + (xy 150.114366 101.270197) + (xy 150.142304 101.410652) + (xy 150.197107 101.542958) + (xy 150.276668 101.66203) + (xy 150.37793 101.763292) + (xy 150.497002 101.842853) + (xy 150.629308 101.897656) + (xy 150.769763 101.925594) + (xy 150.912969 101.925594) + (xy 151.053424 101.897656) + (xy 151.18573 101.842853) + (xy 151.304802 101.763292) + (xy 151.406064 101.66203) + (xy 151.485625 101.542958) + (xy 151.540428 101.410652) + (xy 151.568366 101.270197) + (xy 151.568366 101.126991) + (xy 151.540428 100.986536) + (xy 151.485625 100.85423) + (xy 151.406064 100.735158) + (xy 151.304802 100.633896) + (xy 151.18573 100.554335) + (xy 151.053424 100.499532) + (xy 150.912969 100.471594) + (xy 150.769763 100.471594) + (xy 150.629308 100.499532) + (xy 150.497002 100.554335) + (xy 150.37793 100.633896) + (xy 150.276668 100.735158) + (xy 150.197107 100.85423) + (xy 150.142304 100.986536) + (xy 150.114366 101.126991) + (xy 143.618655 101.126991) + (xy 143.611259 101.109136) + (xy 143.531698 100.990064) + (xy 143.430436 100.888802) + (xy 143.311364 100.809241) + (xy 143.179058 100.754438) + (xy 143.038603 100.7265) + (xy 142.895397 100.7265) + (xy 142.754942 100.754438) + (xy 142.622636 100.809241) + (xy 142.503564 100.888802) + (xy 142.402302 100.990064) + (xy 142.322741 101.109136) + (xy 142.267938 101.241442) + (xy 142.24 101.381897) + (xy 142.24 101.525103) + (xy 142.267938 101.665558) + (xy 142.322741 101.797864) + (xy 142.402302 101.916936) + (xy 142.503564 102.018198) + (xy 142.518167 102.027955) + (xy 142.442636 102.059241) + (xy 142.323564 102.138802) + (xy 142.222302 102.240064) + (xy 142.142741 102.359136) + (xy 142.087938 102.491442) + (xy 142.06 102.631897) + (xy 127.071 102.631897) + (xy 127.071 93.316355) + (xy 129.692271 93.316355) + (xy 129.692271 93.479259) + (xy 129.724053 93.639034) + (xy 129.786394 93.789538) + (xy 129.876899 93.924988) + (xy 129.99209 94.040179) + (xy 130.12754 94.130684) + (xy 130.259292 94.185257) + (xy 130.199089 94.24546) + (xy 130.059337 94.454614) + (xy 129.963074 94.687013) + (xy 129.914 94.933726) + (xy 129.914 95.185274) + (xy 129.963074 95.431987) + (xy 130.059337 95.664386) + (xy 130.199089 95.87354) + (xy 130.37696 96.051411) + (xy 130.586114 96.191163) + (xy 130.818513 96.287426) + (xy 131.030034 96.3295) + (xy 130.818513 96.371574) + (xy 130.586114 96.467837) + (xy 130.37696 96.607589) + (xy 130.199089 96.78546) + (xy 130.059337 96.994614) + (xy 129.963074 97.227013) + (xy 129.914 97.473726) + (xy 129.914 97.725274) + (xy 129.963074 97.971987) + (xy 130.059337 98.204386) + (xy 130.199089 98.41354) + (xy 130.37696 98.591411) + (xy 130.586114 98.731163) + (xy 130.818513 98.827426) + (xy 131.030034 98.8695) + (xy 130.818513 98.911574) + (xy 130.586114 99.007837) + (xy 130.37696 99.147589) + (xy 130.199089 99.32546) + (xy 130.059337 99.534614) + (xy 129.963074 99.767013) + (xy 129.914 100.013726) + (xy 129.914 100.265274) + (xy 129.963074 100.511987) + (xy 130.059337 100.744386) + (xy 130.199089 100.95354) + (xy 130.37696 101.131411) + (xy 130.586114 101.271163) + (xy 130.818513 101.367426) + (xy 131.063998 101.416256) + (xy 131.063998 101.509248) + (xy 130.95725 101.4025) + (xy 130.341 101.400434) + (xy 130.257293 101.408678) + (xy 130.176804 101.433095) + (xy 130.102624 101.472745) + (xy 130.037605 101.526105) + (xy 129.984245 101.591124) + (xy 129.944595 101.665304) + (xy 129.920178 101.745793) + (xy 129.911934 101.8295) + (xy 129.914 102.44575) + (xy 130.02075 102.5525) + (xy 131.064 102.5525) + (xy 131.064 102.5325) + (xy 131.318 102.5325) + (xy 131.318 102.5525) + (xy 132.36125 102.5525) + (xy 132.468 102.44575) + (xy 132.470066 101.8295) + (xy 132.461822 101.745793) + (xy 132.437405 101.665304) + (xy 132.397755 101.591124) + (xy 132.344395 101.526105) + (xy 132.279376 101.472745) + (xy 132.259081 101.461897) + (xy 139 101.461897) + (xy 139 101.605103) + (xy 139.027938 101.745558) + (xy 139.082741 101.877864) + (xy 139.162302 101.996936) + (xy 139.263564 102.098198) + (xy 139.382636 102.177759) + (xy 139.514942 102.232562) + (xy 139.655397 102.2605) + (xy 139.798603 102.2605) + (xy 139.939058 102.232562) + (xy 140.071364 102.177759) + (xy 140.190436 102.098198) + (xy 140.291698 101.996936) + (xy 140.371259 101.877864) + (xy 140.426062 101.745558) + (xy 140.454 101.605103) + (xy 140.454 101.461897) + (xy 140.426062 101.321442) + (xy 140.371259 101.189136) + (xy 140.291698 101.070064) + (xy 140.190436 100.968802) + (xy 140.071364 100.889241) + (xy 139.939058 100.834438) + (xy 139.798603 100.8065) + (xy 139.655397 100.8065) + (xy 139.514942 100.834438) + (xy 139.382636 100.889241) + (xy 139.263564 100.968802) + (xy 139.162302 101.070064) + (xy 139.082741 101.189136) + (xy 139.027938 101.321442) + (xy 139 101.461897) + (xy 132.259081 101.461897) + (xy 132.205196 101.433095) + (xy 132.124707 101.408678) + (xy 132.041 101.400434) + (xy 131.42475 101.4025) + (xy 131.318002 101.509248) + (xy 131.318002 101.416256) + (xy 131.563487 101.367426) + (xy 131.795886 101.271163) + (xy 132.00504 101.131411) + (xy 132.182911 100.95354) + (xy 132.322663 100.744386) + (xy 132.418926 100.511987) + (xy 132.468 100.265274) + (xy 132.468 100.013726) + (xy 132.418926 99.767013) + (xy 132.322663 99.534614) + (xy 132.182911 99.32546) + (xy 132.00504 99.147589) + (xy 131.795886 99.007837) + (xy 131.563487 98.911574) + (xy 131.351966 98.8695) + (xy 131.563487 98.827426) + (xy 131.795886 98.731163) + (xy 132.00504 98.591411) + (xy 132.182911 98.41354) + (xy 132.322663 98.204386) + (xy 132.33612 98.171897) + (xy 135.22 98.171897) + (xy 135.22 98.315103) + (xy 135.247938 98.455558) + (xy 135.302741 98.587864) + (xy 135.382302 98.706936) + (xy 135.483564 98.808198) + (xy 135.602636 98.887759) + (xy 135.734942 98.942562) + (xy 135.875397 98.9705) + (xy 136.018603 98.9705) + (xy 136.159058 98.942562) + (xy 136.291364 98.887759) + (xy 136.410436 98.808198) + (xy 136.511698 98.706936) + (xy 136.591259 98.587864) + (xy 136.646062 98.455558) + (xy 136.674 98.315103) + (xy 136.674 98.171897) + (xy 136.660077 98.101897) + (xy 138.164002 98.101897) + (xy 138.164002 98.245103) + (xy 138.19194 98.385558) + (xy 138.246743 98.517864) + (xy 138.326304 98.636936) + (xy 138.427566 98.738198) + (xy 138.546638 98.817759) + (xy 138.678944 98.872562) + (xy 138.819399 98.9005) + (xy 138.962605 98.9005) + (xy 139.10306 98.872562) + (xy 139.235366 98.817759) + (xy 139.354438 98.738198) + (xy 139.4557 98.636936) + (xy 139.535261 98.517864) + (xy 139.590064 98.385558) + (xy 139.618002 98.245103) + (xy 139.618002 98.151897) + (xy 145.27 98.151897) + (xy 145.27 98.295103) + (xy 145.297938 98.435558) + (xy 145.352741 98.567864) + (xy 145.432302 98.686936) + (xy 145.533564 98.788198) + (xy 145.652636 98.867759) + (xy 145.784942 98.922562) + (xy 145.925397 98.9505) + (xy 146.068603 98.9505) + (xy 146.209058 98.922562) + (xy 146.341364 98.867759) + (xy 146.460436 98.788198) + (xy 146.561698 98.686936) + (xy 146.641259 98.567864) + (xy 146.696062 98.435558) + (xy 146.724 98.295103) + (xy 146.724 98.151897) + (xy 146.696062 98.011442) + (xy 146.641259 97.879136) + (xy 146.561698 97.760064) + (xy 146.460436 97.658802) + (xy 146.341364 97.579241) + (xy 146.209058 97.524438) + (xy 146.068603 97.4965) + (xy 145.925397 97.4965) + (xy 145.784942 97.524438) + (xy 145.652636 97.579241) + (xy 145.533564 97.658802) + (xy 145.432302 97.760064) + (xy 145.352741 97.879136) + (xy 145.297938 98.011442) + (xy 145.27 98.151897) + (xy 139.618002 98.151897) + (xy 139.618002 98.101897) + (xy 139.590064 97.961442) + (xy 139.535261 97.829136) + (xy 139.4557 97.710064) + (xy 139.354438 97.608802) + (xy 139.235366 97.529241) + (xy 139.10306 97.474438) + (xy 138.962605 97.4465) + (xy 138.819399 97.4465) + (xy 138.678944 97.474438) + (xy 138.546638 97.529241) + (xy 138.427566 97.608802) + (xy 138.326304 97.710064) + (xy 138.246743 97.829136) + (xy 138.19194 97.961442) + (xy 138.164002 98.101897) + (xy 136.660077 98.101897) + (xy 136.646062 98.031442) + (xy 136.591259 97.899136) + (xy 136.511698 97.780064) + (xy 136.410436 97.678802) + (xy 136.291364 97.599241) + (xy 136.159058 97.544438) + (xy 136.018603 97.5165) + (xy 135.875397 97.5165) + (xy 135.734942 97.544438) + (xy 135.602636 97.599241) + (xy 135.483564 97.678802) + (xy 135.382302 97.780064) + (xy 135.302741 97.899136) + (xy 135.247938 98.031442) + (xy 135.22 98.171897) + (xy 132.33612 98.171897) + (xy 132.418926 97.971987) + (xy 132.468 97.725274) + (xy 132.468 97.473726) + (xy 132.418926 97.227013) + (xy 132.396097 97.171897) + (xy 141.16 97.171897) + (xy 141.16 97.315103) + (xy 141.187938 97.455558) + (xy 141.242741 97.587864) + (xy 141.322302 97.706936) + (xy 141.423564 97.808198) + (xy 141.542636 97.887759) + (xy 141.674942 97.942562) + (xy 141.815397 97.9705) + (xy 141.958603 97.9705) + (xy 142.099058 97.942562) + (xy 142.231364 97.887759) + (xy 142.350436 97.808198) + (xy 142.451698 97.706936) + (xy 142.531259 97.587864) + (xy 142.586062 97.455558) + (xy 142.614 97.315103) + (xy 142.614 97.171897) + (xy 142.586062 97.031442) + (xy 142.531259 96.899136) + (xy 142.451698 96.780064) + (xy 142.350436 96.678802) + (xy 142.236823 96.602888) + (xy 147.212443 96.602888) + (xy 147.212443 96.746094) + (xy 147.240381 96.886549) + (xy 147.295184 97.018855) + (xy 147.374745 97.137927) + (xy 147.476007 97.239189) + (xy 147.595079 97.31875) + (xy 147.727385 97.373553) + (xy 147.86784 97.401491) + (xy 148.011046 97.401491) + (xy 148.151501 97.373553) + (xy 148.283807 97.31875) + (xy 148.402879 97.239189) + (xy 148.504141 97.137927) + (xy 148.583702 97.018855) + (xy 148.623195 96.92351) + (xy 148.692302 97.026936) + (xy 148.793564 97.128198) + (xy 148.864572 97.175644) + (xy 148.818603 97.1665) + (xy 148.675397 97.1665) + (xy 148.534942 97.194438) + (xy 148.402636 97.249241) + (xy 148.283564 97.328802) + (xy 148.182302 97.430064) + (xy 148.102741 97.549136) + (xy 148.047938 97.681442) + (xy 148.02 97.821897) + (xy 148.02 97.965103) + (xy 148.047938 98.105558) + (xy 148.102741 98.237864) + (xy 148.182302 98.356936) + (xy 148.283564 98.458198) + (xy 148.402636 98.537759) + (xy 148.534942 98.592562) + (xy 148.675397 98.6205) + (xy 148.818603 98.6205) + (xy 148.959058 98.592562) + (xy 149.091364 98.537759) + (xy 149.210436 98.458198) + (xy 149.311698 98.356936) + (xy 149.391259 98.237864) + (xy 149.446062 98.105558) + (xy 149.455186 98.059688) + (xy 152.450425 98.059688) + (xy 152.450425 98.202894) + (xy 152.478363 98.343349) + (xy 152.533166 98.475655) + (xy 152.612727 98.594727) + (xy 152.713989 98.695989) + (xy 152.833061 98.77555) + (xy 152.965367 98.830353) + (xy 153.105822 98.858291) + (xy 153.220663 98.858291) + (xy 153.21 98.911897) + (xy 153.21 99.055103) + (xy 153.237938 99.195558) + (xy 153.292741 99.327864) + (xy 153.372302 99.446936) + (xy 153.473564 99.548198) + (xy 153.592636 99.627759) + (xy 153.724942 99.682562) + (xy 153.865397 99.7105) + (xy 154.008603 99.7105) + (xy 154.149058 99.682562) + (xy 154.281364 99.627759) + (xy 154.400436 99.548198) + (xy 154.501698 99.446936) + (xy 154.581259 99.327864) + (xy 154.636062 99.195558) + (xy 154.664 99.055103) + (xy 154.664 98.911897) + (xy 154.636062 98.771442) + (xy 154.581259 98.639136) + (xy 154.501698 98.520064) + (xy 154.400436 98.418802) + (xy 154.281364 98.339241) + (xy 154.149058 98.284438) + (xy 154.008603 98.2565) + (xy 153.893762 98.2565) + (xy 153.904425 98.202894) + (xy 153.904425 98.059688) + (xy 153.876487 97.919233) + (xy 153.869385 97.902088) + (xy 153.982636 97.977759) + (xy 154.114942 98.032562) + (xy 154.255397 98.0605) + (xy 154.398603 98.0605) + (xy 154.539058 98.032562) + (xy 154.671364 97.977759) + (xy 154.790436 97.898198) + (xy 154.891698 97.796936) + (xy 154.971259 97.677864) + (xy 155.026062 97.545558) + (xy 155.054 97.405103) + (xy 155.054 97.261897) + (xy 155.026062 97.121442) + (xy 154.971259 96.989136) + (xy 154.891698 96.870064) + (xy 154.807652 96.786018) + (xy 154.824293 96.745842) + (xy 154.852231 96.605387) + (xy 154.852231 96.462181) + (xy 154.824293 96.321726) + (xy 154.76949 96.18942) + (xy 154.689929 96.070348) + (xy 154.588667 95.969086) + (xy 154.469595 95.889525) + (xy 154.337289 95.834722) + (xy 154.196834 95.806784) + (xy 154.053628 95.806784) + (xy 153.913173 95.834722) + (xy 153.780867 95.889525) + (xy 153.661795 95.969086) + (xy 153.560533 96.070348) + (xy 153.480972 96.18942) + (xy 153.426169 96.321726) + (xy 153.398231 96.462181) + (xy 153.398231 96.605387) + (xy 153.426169 96.745842) + (xy 153.480972 96.878148) + (xy 153.560533 96.99722) + (xy 153.644579 97.081266) + (xy 153.627938 97.121442) + (xy 153.6 97.261897) + (xy 153.6 97.405103) + (xy 153.627938 97.545558) + (xy 153.63504 97.562703) + (xy 153.521789 97.487032) + (xy 153.389483 97.432229) + (xy 153.249028 97.404291) + (xy 153.105822 97.404291) + (xy 152.965367 97.432229) + (xy 152.833061 97.487032) + (xy 152.713989 97.566593) + (xy 152.612727 97.667855) + (xy 152.533166 97.786927) + (xy 152.478363 97.919233) + (xy 152.450425 98.059688) + (xy 149.455186 98.059688) + (xy 149.474 97.965103) + (xy 149.474 97.821897) + (xy 149.446062 97.681442) + (xy 149.391259 97.549136) + (xy 149.311698 97.430064) + (xy 149.210436 97.328802) + (xy 149.139428 97.281356) + (xy 149.185397 97.2905) + (xy 149.328603 97.2905) + (xy 149.469058 97.262562) + (xy 149.601364 97.207759) + (xy 149.640069 97.181897) + (xy 150.29 97.181897) + (xy 150.29 97.325103) + (xy 150.317938 97.465558) + (xy 150.372741 97.597864) + (xy 150.452302 97.716936) + (xy 150.553564 97.818198) + (xy 150.672636 97.897759) + (xy 150.804942 97.952562) + (xy 150.945397 97.9805) + (xy 151.088603 97.9805) + (xy 151.229058 97.952562) + (xy 151.361364 97.897759) + (xy 151.480436 97.818198) + (xy 151.581698 97.716936) + (xy 151.661259 97.597864) + (xy 151.716062 97.465558) + (xy 151.744 97.325103) + (xy 151.744 97.203048) + (xy 151.749507 97.203048) + (xy 151.889962 97.17511) + (xy 152.022268 97.120307) + (xy 152.14134 97.040746) + (xy 152.242602 96.939484) + (xy 152.322163 96.820412) + (xy 152.376966 96.688106) + (xy 152.404904 96.547651) + (xy 152.404904 96.404445) + (xy 152.376966 96.26399) + (xy 152.322163 96.131684) + (xy 152.242602 96.012612) + (xy 152.14134 95.91135) + (xy 152.022268 95.831789) + (xy 151.889962 95.776986) + (xy 151.749507 95.749048) + (xy 151.606301 95.749048) + (xy 151.465846 95.776986) + (xy 151.33354 95.831789) + (xy 151.214468 95.91135) + (xy 151.113206 96.012612) + (xy 151.033645 96.131684) + (xy 150.978842 96.26399) + (xy 150.950904 96.404445) + (xy 150.950904 96.5265) + (xy 150.945397 96.5265) + (xy 150.804942 96.554438) + (xy 150.672636 96.609241) + (xy 150.553564 96.688802) + (xy 150.452302 96.790064) + (xy 150.372741 96.909136) + (xy 150.317938 97.041442) + (xy 150.29 97.181897) + (xy 149.640069 97.181897) + (xy 149.720436 97.128198) + (xy 149.821698 97.026936) + (xy 149.901259 96.907864) + (xy 149.956062 96.775558) + (xy 149.984 96.635103) + (xy 149.984 96.491897) + (xy 149.956062 96.351442) + (xy 149.901259 96.219136) + (xy 149.821698 96.100064) + (xy 149.720436 95.998802) + (xy 149.601364 95.919241) + (xy 149.469058 95.864438) + (xy 149.328603 95.8365) + (xy 149.185397 95.8365) + (xy 149.044942 95.864438) + (xy 148.912636 95.919241) + (xy 148.793564 95.998802) + (xy 148.692302 96.100064) + (xy 148.612741 96.219136) + (xy 148.573248 96.314481) + (xy 148.504141 96.211055) + (xy 148.402879 96.109793) + (xy 148.283807 96.030232) + (xy 148.151501 95.975429) + (xy 148.011046 95.947491) + (xy 147.86784 95.947491) + (xy 147.727385 95.975429) + (xy 147.595079 96.030232) + (xy 147.476007 96.109793) + (xy 147.374745 96.211055) + (xy 147.295184 96.330127) + (xy 147.240381 96.462433) + (xy 147.212443 96.602888) + (xy 142.236823 96.602888) + (xy 142.231364 96.599241) + (xy 142.099058 96.544438) + (xy 141.958603 96.5165) + (xy 141.815397 96.5165) + (xy 141.674942 96.544438) + (xy 141.542636 96.599241) + (xy 141.423564 96.678802) + (xy 141.322302 96.780064) + (xy 141.242741 96.899136) + (xy 141.187938 97.031442) + (xy 141.16 97.171897) + (xy 132.396097 97.171897) + (xy 132.322663 96.994614) + (xy 132.182911 96.78546) + (xy 132.00504 96.607589) + (xy 131.795886 96.467837) + (xy 131.563487 96.371574) + (xy 131.351966 96.3295) + (xy 131.563487 96.287426) + (xy 131.795886 96.191163) + (xy 132.00504 96.051411) + (xy 132.182911 95.87354) + (xy 132.322663 95.664386) + (xy 132.389968 95.501897) + (xy 142.1 95.501897) + (xy 142.1 95.645103) + (xy 142.127938 95.785558) + (xy 142.182741 95.917864) + (xy 142.262302 96.036936) + (xy 142.363564 96.138198) + (xy 142.482636 96.217759) + (xy 142.614942 96.272562) + (xy 142.755397 96.3005) + (xy 142.898603 96.3005) + (xy 143.039058 96.272562) + (xy 143.171364 96.217759) + (xy 143.290436 96.138198) + (xy 143.391698 96.036936) + (xy 143.471259 95.917864) + (xy 143.526062 95.785558) + (xy 143.554 95.645103) + (xy 143.554 95.501897) + (xy 143.526062 95.361442) + (xy 143.471259 95.229136) + (xy 143.391698 95.110064) + (xy 143.290436 95.008802) + (xy 143.171364 94.929241) + (xy 143.039058 94.874438) + (xy 142.898603 94.8465) + (xy 142.755397 94.8465) + (xy 142.614942 94.874438) + (xy 142.482636 94.929241) + (xy 142.363564 95.008802) + (xy 142.262302 95.110064) + (xy 142.182741 95.229136) + (xy 142.127938 95.361442) + (xy 142.1 95.501897) + (xy 132.389968 95.501897) + (xy 132.418926 95.431987) + (xy 132.463074 95.210038) + (xy 132.465397 95.2105) + (xy 132.608603 95.2105) + (xy 132.749058 95.182562) + (xy 132.881364 95.127759) + (xy 133.000436 95.048198) + (xy 133.101698 94.946936) + (xy 133.181259 94.827864) + (xy 133.236062 94.695558) + (xy 133.264 94.555103) + (xy 133.264 94.411897) + (xy 133.236062 94.271442) + (xy 133.181259 94.139136) + (xy 133.101698 94.020064) + (xy 133.000436 93.918802) + (xy 132.881364 93.839241) + (xy 132.749058 93.784438) + (xy 132.608603 93.7565) + (xy 132.465397 93.7565) + (xy 132.324942 93.784438) + (xy 132.192636 93.839241) + (xy 132.073564 93.918802) + (xy 131.972302 94.020064) + (xy 131.960453 94.037797) + (xy 131.795886 93.927837) + (xy 131.563487 93.831574) + (xy 131.316774 93.7825) + (xy 131.255063 93.7825) + (xy 131.296734 93.681897) + (xy 133.34 93.681897) + (xy 133.34 93.825103) + (xy 133.367938 93.965558) + (xy 133.422741 94.097864) + (xy 133.502302 94.216936) + (xy 133.603564 94.318198) + (xy 133.722636 94.397759) + (xy 133.854942 94.452562) + (xy 133.995397 94.4805) + (xy 134.138603 94.4805) + (xy 134.279058 94.452562) + (xy 134.411364 94.397759) + (xy 134.530436 94.318198) + (xy 134.616737 94.231897) + (xy 143.86 94.231897) + (xy 143.86 94.375103) + (xy 143.887938 94.515558) + (xy 143.942741 94.647864) + (xy 144.022302 94.766936) + (xy 144.123564 94.868198) + (xy 144.242636 94.947759) + (xy 144.374942 95.002562) + (xy 144.515397 95.0305) + (xy 144.658603 95.0305) + (xy 144.799058 95.002562) + (xy 144.931364 94.947759) + (xy 145.050436 94.868198) + (xy 145.151698 94.766936) + (xy 145.231259 94.647864) + (xy 145.286062 94.515558) + (xy 145.314 94.375103) + (xy 145.314 94.231897) + (xy 145.286062 94.091442) + (xy 145.231259 93.959136) + (xy 145.151698 93.840064) + (xy 145.050436 93.738802) + (xy 144.931364 93.659241) + (xy 144.799058 93.604438) + (xy 144.658603 93.5765) + (xy 144.515397 93.5765) + (xy 144.374942 93.604438) + (xy 144.242636 93.659241) + (xy 144.123564 93.738802) + (xy 144.022302 93.840064) + (xy 143.942741 93.959136) + (xy 143.887938 94.091442) + (xy 143.86 94.231897) + (xy 134.616737 94.231897) + (xy 134.631698 94.216936) + (xy 134.711259 94.097864) + (xy 134.766062 93.965558) + (xy 134.794 93.825103) + (xy 134.794 93.681897) + (xy 134.766062 93.541442) + (xy 134.711259 93.409136) + (xy 134.706423 93.401897) + (xy 136.98 93.401897) + (xy 136.98 93.545103) + (xy 137.007938 93.685558) + (xy 137.062741 93.817864) + (xy 137.142302 93.936936) + (xy 137.243564 94.038198) + (xy 137.362636 94.117759) + (xy 137.494942 94.172562) + (xy 137.635397 94.2005) + (xy 137.778603 94.2005) + (xy 137.919058 94.172562) + (xy 138.051364 94.117759) + (xy 138.170436 94.038198) + (xy 138.271698 93.936936) + (xy 138.351259 93.817864) + (xy 138.406062 93.685558) + (xy 138.434 93.545103) + (xy 138.434 93.401897) + (xy 138.406062 93.261442) + (xy 138.351259 93.129136) + (xy 138.271698 93.010064) + (xy 138.170436 92.908802) + (xy 138.051364 92.829241) + (xy 137.919058 92.774438) + (xy 137.778603 92.7465) + (xy 137.635397 92.7465) + (xy 137.494942 92.774438) + (xy 137.362636 92.829241) + (xy 137.243564 92.908802) + (xy 137.142302 93.010064) + (xy 137.062741 93.129136) + (xy 137.007938 93.261442) + (xy 136.98 93.401897) + (xy 134.706423 93.401897) + (xy 134.631698 93.290064) + (xy 134.530436 93.188802) + (xy 134.411364 93.109241) + (xy 134.279058 93.054438) + (xy 134.138603 93.0265) + (xy 133.995397 93.0265) + (xy 133.854942 93.054438) + (xy 133.722636 93.109241) + (xy 133.603564 93.188802) + (xy 133.502302 93.290064) + (xy 133.422741 93.409136) + (xy 133.367938 93.541442) + (xy 133.34 93.681897) + (xy 131.296734 93.681897) + (xy 131.314489 93.639034) + (xy 131.346271 93.479259) + (xy 131.346271 93.316355) + (xy 131.314489 93.15658) + (xy 131.252148 93.006076) + (xy 131.161643 92.870626) + (xy 131.046452 92.755435) + (xy 130.911002 92.66493) + (xy 130.760498 92.602589) + (xy 130.600723 92.570807) + (xy 130.437819 92.570807) + (xy 130.278044 92.602589) + (xy 130.12754 92.66493) + (xy 129.99209 92.755435) + (xy 129.876899 92.870626) + (xy 129.786394 93.006076) + (xy 129.724053 93.15658) + (xy 129.692271 93.316355) + (xy 127.071 93.316355) + (xy 127.071 91.431897) + (xy 138.4 91.431897) + (xy 138.4 91.575103) + (xy 138.427938 91.715558) + (xy 138.482741 91.847864) + (xy 138.562302 91.966936) + (xy 138.663564 92.068198) + (xy 138.782636 92.147759) + (xy 138.914942 92.202562) + (xy 139.055397 92.2305) + (xy 139.198603 92.2305) + (xy 139.339058 92.202562) + (xy 139.471364 92.147759) + (xy 139.590436 92.068198) + (xy 139.691698 91.966936) + (xy 139.771259 91.847864) + (xy 139.826062 91.715558) + (xy 139.838724 91.651897) + (xy 141.95 91.651897) + (xy 141.95 91.795103) + (xy 141.977938 91.935558) + (xy 142.032741 92.067864) + (xy 142.112302 92.186936) + (xy 142.213564 92.288198) + (xy 142.332636 92.367759) + (xy 142.464942 92.422562) + (xy 142.605397 92.4505) + (xy 142.748603 92.4505) + (xy 142.889058 92.422562) + (xy 143.021364 92.367759) + (xy 143.140436 92.288198) + (xy 143.241698 92.186936) + (xy 143.269869 92.144776) + (xy 143.283948 92.215558) + (xy 143.338751 92.347864) + (xy 143.418312 92.466936) + (xy 143.519574 92.568198) + (xy 143.638646 92.647759) + (xy 143.770952 92.702562) + (xy 143.911407 92.7305) + (xy 144.054613 92.7305) + (xy 144.195068 92.702562) + (xy 144.327374 92.647759) + (xy 144.446446 92.568198) + (xy 144.547708 92.466936) + (xy 144.627269 92.347864) + (xy 144.682072 92.215558) + (xy 144.71001 92.075103) + (xy 144.71001 91.96498) + (xy 145.474886 91.96498) + (xy 145.474886 92.108186) + (xy 145.502824 92.248641) + (xy 145.557627 92.380947) + (xy 145.637188 92.500019) + (xy 145.73845 92.601281) + (xy 145.857522 92.680842) + (xy 145.989828 92.735645) + (xy 146.130283 92.763583) + (xy 146.273489 92.763583) + (xy 146.413944 92.735645) + (xy 146.54625 92.680842) + (xy 146.665322 92.601281) + (xy 146.766584 92.500019) + (xy 146.846145 92.380947) + (xy 146.858757 92.3505) + (xy 146.958603 92.3505) + (xy 147.099058 92.322562) + (xy 147.231364 92.267759) + (xy 147.350436 92.188198) + (xy 147.426737 92.111897) + (xy 148.97 92.111897) + (xy 148.97 92.255103) + (xy 148.997938 92.395558) + (xy 149.052741 92.527864) + (xy 149.132302 92.646936) + (xy 149.233564 92.748198) + (xy 149.352636 92.827759) + (xy 149.484942 92.882562) + (xy 149.625397 92.9105) + (xy 149.768603 92.9105) + (xy 149.909058 92.882562) + (xy 150.041364 92.827759) + (xy 150.160436 92.748198) + (xy 150.261698 92.646936) + (xy 150.341259 92.527864) + (xy 150.396062 92.395558) + (xy 150.424 92.255103) + (xy 150.424 92.151897) + (xy 150.81 92.151897) + (xy 150.81 92.295103) + (xy 150.837938 92.435558) + (xy 150.892741 92.567864) + (xy 150.972302 92.686936) + (xy 151.073564 92.788198) + (xy 151.192636 92.867759) + (xy 151.324942 92.922562) + (xy 151.465397 92.9505) + (xy 151.608603 92.9505) + (xy 151.749058 92.922562) + (xy 151.881364 92.867759) + (xy 152.000436 92.788198) + (xy 152.101698 92.686936) + (xy 152.118428 92.661897) + (xy 152.4 92.661897) + (xy 152.4 92.805103) + (xy 152.427938 92.945558) + (xy 152.482741 93.077864) + (xy 152.562302 93.196936) + (xy 152.663564 93.298198) + (xy 152.782636 93.377759) + (xy 152.914942 93.432562) + (xy 153.055397 93.4605) + (xy 153.198603 93.4605) + (xy 153.339058 93.432562) + (xy 153.471364 93.377759) + (xy 153.590436 93.298198) + (xy 153.678737 93.209897) + (xy 156.87999 93.209897) + (xy 156.87999 93.353103) + (xy 156.907928 93.493558) + (xy 156.962731 93.625864) + (xy 157.042292 93.744936) + (xy 157.143554 93.846198) + (xy 157.262626 93.925759) + (xy 157.394932 93.980562) + (xy 157.535387 94.0085) + (xy 157.678593 94.0085) + (xy 157.819048 93.980562) + (xy 157.951354 93.925759) + (xy 158.070426 93.846198) + (xy 158.171688 93.744936) + (xy 158.251249 93.625864) + (xy 158.306052 93.493558) + (xy 158.33399 93.353103) + (xy 158.33399 93.209897) + (xy 158.306052 93.069442) + (xy 158.251249 92.937136) + (xy 158.171688 92.818064) + (xy 158.070426 92.716802) + (xy 157.951354 92.637241) + (xy 157.819048 92.582438) + (xy 157.678593 92.5545) + (xy 157.535387 92.5545) + (xy 157.394932 92.582438) + (xy 157.262626 92.637241) + (xy 157.143554 92.716802) + (xy 157.042292 92.818064) + (xy 156.962731 92.937136) + (xy 156.907928 93.069442) + (xy 156.87999 93.209897) + (xy 153.678737 93.209897) + (xy 153.691698 93.196936) + (xy 153.771259 93.077864) + (xy 153.826062 92.945558) + (xy 153.854 92.805103) + (xy 153.854 92.661897) + (xy 153.826062 92.521442) + (xy 153.771259 92.389136) + (xy 153.691698 92.270064) + (xy 153.590436 92.168802) + (xy 153.471364 92.089241) + (xy 153.339058 92.034438) + (xy 153.198603 92.0065) + (xy 153.055397 92.0065) + (xy 152.914942 92.034438) + (xy 152.782636 92.089241) + (xy 152.663564 92.168802) + (xy 152.562302 92.270064) + (xy 152.482741 92.389136) + (xy 152.427938 92.521442) + (xy 152.4 92.661897) + (xy 152.118428 92.661897) + (xy 152.181259 92.567864) + (xy 152.236062 92.435558) + (xy 152.264 92.295103) + (xy 152.264 92.151897) + (xy 152.236062 92.011442) + (xy 152.181259 91.879136) + (xy 152.126758 91.797568) + (xy 155.571694 91.797568) + (xy 155.571694 91.940774) + (xy 155.599632 92.081229) + (xy 155.654435 92.213535) + (xy 155.733996 92.332607) + (xy 155.835258 92.433869) + (xy 155.95433 92.51343) + (xy 156.086636 92.568233) + (xy 156.227091 92.596171) + (xy 156.370297 92.596171) + (xy 156.510752 92.568233) + (xy 156.643058 92.51343) + (xy 156.76213 92.433869) + (xy 156.863392 92.332607) + (xy 156.942953 92.213535) + (xy 156.997756 92.081229) + (xy 157.025694 91.940774) + (xy 157.025694 91.797568) + (xy 156.997756 91.657113) + (xy 156.942953 91.524807) + (xy 156.863392 91.405735) + (xy 156.76213 91.304473) + (xy 156.643058 91.224912) + (xy 156.510752 91.170109) + (xy 156.370297 91.142171) + (xy 156.227091 91.142171) + (xy 156.086636 91.170109) + (xy 155.95433 91.224912) + (xy 155.835258 91.304473) + (xy 155.733996 91.405735) + (xy 155.654435 91.524807) + (xy 155.599632 91.657113) + (xy 155.571694 91.797568) + (xy 152.126758 91.797568) + (xy 152.101698 91.760064) + (xy 152.000436 91.658802) + (xy 151.881364 91.579241) + (xy 151.749058 91.524438) + (xy 151.608603 91.4965) + (xy 151.465397 91.4965) + (xy 151.324942 91.524438) + (xy 151.192636 91.579241) + (xy 151.073564 91.658802) + (xy 150.972302 91.760064) + (xy 150.892741 91.879136) + (xy 150.837938 92.011442) + (xy 150.81 92.151897) + (xy 150.424 92.151897) + (xy 150.424 92.111897) + (xy 150.396062 91.971442) + (xy 150.341259 91.839136) + (xy 150.261698 91.720064) + (xy 150.160436 91.618802) + (xy 150.041364 91.539241) + (xy 149.909058 91.484438) + (xy 149.768603 91.4565) + (xy 149.625397 91.4565) + (xy 149.484942 91.484438) + (xy 149.352636 91.539241) + (xy 149.233564 91.618802) + (xy 149.132302 91.720064) + (xy 149.052741 91.839136) + (xy 148.997938 91.971442) + (xy 148.97 92.111897) + (xy 147.426737 92.111897) + (xy 147.451698 92.086936) + (xy 147.531259 91.967864) + (xy 147.586062 91.835558) + (xy 147.614 91.695103) + (xy 147.614 91.551897) + (xy 147.586062 91.411442) + (xy 147.531259 91.279136) + (xy 147.451698 91.160064) + (xy 147.393531 91.101897) + (xy 157.86 91.101897) + (xy 157.86 91.245103) + (xy 157.887938 91.385558) + (xy 157.942741 91.517864) + (xy 158.022302 91.636936) + (xy 158.123564 91.738198) + (xy 158.242636 91.817759) + (xy 158.374942 91.872562) + (xy 158.515397 91.9005) + (xy 158.658603 91.9005) + (xy 158.799058 91.872562) + (xy 158.931364 91.817759) + (xy 159.050436 91.738198) + (xy 159.151698 91.636936) + (xy 159.231259 91.517864) + (xy 159.286062 91.385558) + (xy 159.314 91.245103) + (xy 159.314 91.101897) + (xy 159.286062 90.961442) + (xy 159.231259 90.829136) + (xy 159.151698 90.710064) + (xy 159.050436 90.608802) + (xy 158.931364 90.529241) + (xy 158.799058 90.474438) + (xy 158.658603 90.4465) + (xy 158.515397 90.4465) + (xy 158.374942 90.474438) + (xy 158.242636 90.529241) + (xy 158.123564 90.608802) + (xy 158.022302 90.710064) + (xy 157.942741 90.829136) + (xy 157.887938 90.961442) + (xy 157.86 91.101897) + (xy 147.393531 91.101897) + (xy 147.350436 91.058802) + (xy 147.231364 90.979241) + (xy 147.099058 90.924438) + (xy 146.958603 90.8965) + (xy 146.815397 90.8965) + (xy 146.674942 90.924438) + (xy 146.542636 90.979241) + (xy 146.423564 91.058802) + (xy 146.322302 91.160064) + (xy 146.242741 91.279136) + (xy 146.230129 91.309583) + (xy 146.130283 91.309583) + (xy 145.989828 91.337521) + (xy 145.857522 91.392324) + (xy 145.73845 91.471885) + (xy 145.637188 91.573147) + (xy 145.557627 91.692219) + (xy 145.502824 91.824525) + (xy 145.474886 91.96498) + (xy 144.71001 91.96498) + (xy 144.71001 91.931897) + (xy 144.682072 91.791442) + (xy 144.627269 91.659136) + (xy 144.547708 91.540064) + (xy 144.446446 91.438802) + (xy 144.327374 91.359241) + (xy 144.195068 91.304438) + (xy 144.054613 91.2765) + (xy 143.911407 91.2765) + (xy 143.770952 91.304438) + (xy 143.638646 91.359241) + (xy 143.519574 91.438802) + (xy 143.418312 91.540064) + (xy 143.390141 91.582224) + (xy 143.376062 91.511442) + (xy 143.321259 91.379136) + (xy 143.241698 91.260064) + (xy 143.140436 91.158802) + (xy 143.021364 91.079241) + (xy 142.889058 91.024438) + (xy 142.748603 90.9965) + (xy 142.605397 90.9965) + (xy 142.464942 91.024438) + (xy 142.332636 91.079241) + (xy 142.213564 91.158802) + (xy 142.112302 91.260064) + (xy 142.032741 91.379136) + (xy 141.977938 91.511442) + (xy 141.95 91.651897) + (xy 139.838724 91.651897) + (xy 139.854 91.575103) + (xy 139.854 91.431897) + (xy 139.826062 91.291442) + (xy 139.771259 91.159136) + (xy 139.691698 91.040064) + (xy 139.590436 90.938802) + (xy 139.471364 90.859241) + (xy 139.339058 90.804438) + (xy 139.198603 90.7765) + (xy 139.055397 90.7765) + (xy 138.914942 90.804438) + (xy 138.782636 90.859241) + (xy 138.663564 90.938802) + (xy 138.562302 91.040064) + (xy 138.482741 91.159136) + (xy 138.427938 91.291442) + (xy 138.4 91.431897) + (xy 127.071 91.431897) + (xy 127.071 87.375241) + (xy 132.338113 87.375241) + (xy 132.338113 87.518447) + (xy 132.366051 87.658902) + (xy 132.420854 87.791208) + (xy 132.500415 87.91028) + (xy 132.601677 88.011542) + (xy 132.720749 88.091103) + (xy 132.853055 88.145906) + (xy 132.99351 88.173844) + (xy 133.136716 88.173844) + (xy 133.277171 88.145906) + (xy 133.409477 88.091103) + (xy 133.528549 88.011542) + (xy 133.629811 87.91028) + (xy 133.709372 87.791208) + (xy 133.746365 87.701897) + (xy 138.62 87.701897) + (xy 138.62 87.845103) + (xy 138.647938 87.985558) + (xy 138.702741 88.117864) + (xy 138.782302 88.236936) + (xy 138.883564 88.338198) + (xy 139.002636 88.417759) + (xy 139.134942 88.472562) + (xy 139.275397 88.5005) + (xy 139.418603 88.5005) + (xy 139.559058 88.472562) + (xy 139.691364 88.417759) + (xy 139.810436 88.338198) + (xy 139.911698 88.236936) + (xy 139.991259 88.117864) + (xy 140.046062 87.985558) + (xy 140.074 87.845103) + (xy 140.074 87.831907) + (xy 146.27 87.831907) + (xy 146.27 87.975113) + (xy 146.297938 88.115568) + (xy 146.352741 88.247874) + (xy 146.432302 88.366946) + (xy 146.533564 88.468208) + (xy 146.652636 88.547769) + (xy 146.784942 88.602572) + (xy 146.925397 88.63051) + (xy 147.068603 88.63051) + (xy 147.209058 88.602572) + (xy 147.341364 88.547769) + (xy 147.460436 88.468208) + (xy 147.561698 88.366946) + (xy 147.641259 88.247874) + (xy 147.696062 88.115568) + (xy 147.724 87.975113) + (xy 147.724 87.831907) + (xy 147.696062 87.691452) + (xy 147.641259 87.559146) + (xy 147.561698 87.440074) + (xy 147.483521 87.361897) + (xy 149.41 87.361897) + (xy 149.41 87.505103) + (xy 149.437938 87.645558) + (xy 149.492741 87.777864) + (xy 149.572302 87.896936) + (xy 149.673564 87.998198) + (xy 149.792636 88.077759) + (xy 149.924942 88.132562) + (xy 150.065397 88.1605) + (xy 150.208603 88.1605) + (xy 150.349058 88.132562) + (xy 150.481364 88.077759) + (xy 150.600436 87.998198) + (xy 150.701698 87.896936) + (xy 150.765773 87.801041) + (xy 150.772741 87.817864) + (xy 150.852302 87.936936) + (xy 150.953564 88.038198) + (xy 151.072636 88.117759) + (xy 151.204942 88.172562) + (xy 151.345397 88.2005) + (xy 151.488603 88.2005) + (xy 151.629058 88.172562) + (xy 151.761364 88.117759) + (xy 151.880436 88.038198) + (xy 151.935984 87.98265) + (xy 151.992302 88.066936) + (xy 152.093564 88.168198) + (xy 152.212636 88.247759) + (xy 152.344942 88.302562) + (xy 152.485397 88.3305) + (xy 152.628603 88.3305) + (xy 152.769058 88.302562) + (xy 152.901364 88.247759) + (xy 153.020436 88.168198) + (xy 153.121698 88.066936) + (xy 153.201259 87.947864) + (xy 153.256062 87.815558) + (xy 153.284 87.675103) + (xy 153.284 87.531897) + (xy 153.256062 87.391442) + (xy 153.201259 87.259136) + (xy 153.121698 87.140064) + (xy 153.020436 87.038802) + (xy 152.901364 86.959241) + (xy 152.769058 86.904438) + (xy 152.628603 86.8765) + (xy 152.485397 86.8765) + (xy 152.344942 86.904438) + (xy 152.212636 86.959241) + (xy 152.093564 87.038802) + (xy 152.038016 87.09435) + (xy 151.981698 87.010064) + (xy 151.880436 86.908802) + (xy 151.761364 86.829241) + (xy 151.629058 86.774438) + (xy 151.488603 86.7465) + (xy 151.345397 86.7465) + (xy 151.204942 86.774438) + (xy 151.072636 86.829241) + (xy 150.953564 86.908802) + (xy 150.852302 87.010064) + (xy 150.788227 87.105959) + (xy 150.781259 87.089136) + (xy 150.701698 86.970064) + (xy 150.600436 86.868802) + (xy 150.481364 86.789241) + (xy 150.349058 86.734438) + (xy 150.208603 86.7065) + (xy 150.065397 86.7065) + (xy 149.924942 86.734438) + (xy 149.792636 86.789241) + (xy 149.673564 86.868802) + (xy 149.572302 86.970064) + (xy 149.492741 87.089136) + (xy 149.437938 87.221442) + (xy 149.41 87.361897) + (xy 147.483521 87.361897) + (xy 147.460436 87.338812) + (xy 147.341364 87.259251) + (xy 147.209058 87.204448) + (xy 147.068603 87.17651) + (xy 146.925397 87.17651) + (xy 146.784942 87.204448) + (xy 146.652636 87.259251) + (xy 146.533564 87.338812) + (xy 146.432302 87.440074) + (xy 146.352741 87.559146) + (xy 146.297938 87.691452) + (xy 146.27 87.831907) + (xy 140.074 87.831907) + (xy 140.074 87.701897) + (xy 140.046062 87.561442) + (xy 139.991259 87.429136) + (xy 139.911698 87.310064) + (xy 139.810436 87.208802) + (xy 139.691364 87.129241) + (xy 139.559058 87.074438) + (xy 139.418603 87.0465) + (xy 139.275397 87.0465) + (xy 139.134942 87.074438) + (xy 139.002636 87.129241) + (xy 138.883564 87.208802) + (xy 138.782302 87.310064) + (xy 138.702741 87.429136) + (xy 138.647938 87.561442) + (xy 138.62 87.701897) + (xy 133.746365 87.701897) + (xy 133.764175 87.658902) + (xy 133.792113 87.518447) + (xy 133.792113 87.375241) + (xy 133.764175 87.234786) + (xy 133.709372 87.10248) + (xy 133.629811 86.983408) + (xy 133.528549 86.882146) + (xy 133.409477 86.802585) + (xy 133.277171 86.747782) + (xy 133.136716 86.719844) + (xy 132.99351 86.719844) + (xy 132.853055 86.747782) + (xy 132.720749 86.802585) + (xy 132.601677 86.882146) + (xy 132.500415 86.983408) + (xy 132.420854 87.10248) + (xy 132.366051 87.234786) + (xy 132.338113 87.375241) + (xy 127.071 87.375241) + (xy 127.071 84.891897) + (xy 150.71 84.891897) + (xy 150.71 85.035103) + (xy 150.737938 85.175558) + (xy 150.792741 85.307864) + (xy 150.872302 85.426936) + (xy 150.973564 85.528198) + (xy 151.092636 85.607759) + (xy 151.224942 85.662562) + (xy 151.365397 85.6905) + (xy 151.508603 85.6905) + (xy 151.649058 85.662562) + (xy 151.781364 85.607759) + (xy 151.900436 85.528198) + (xy 152.001698 85.426936) + (xy 152.081259 85.307864) + (xy 152.136062 85.175558) + (xy 152.164 85.035103) + (xy 152.164 84.901897) + (xy 157.73 84.901897) + (xy 157.73 85.045103) + (xy 157.757938 85.185558) + (xy 157.812741 85.317864) + (xy 157.892302 85.436936) + (xy 157.993564 85.538198) + (xy 158.112636 85.617759) + (xy 158.244942 85.672562) + (xy 158.385397 85.7005) + (xy 158.528603 85.7005) + (xy 158.669058 85.672562) + (xy 158.801364 85.617759) + (xy 158.920436 85.538198) + (xy 159.021698 85.436936) + (xy 159.101259 85.317864) + (xy 159.156062 85.185558) + (xy 159.184 85.045103) + (xy 159.184 84.901897) + (xy 159.156062 84.761442) + (xy 159.101259 84.629136) + (xy 159.021698 84.510064) + (xy 158.920436 84.408802) + (xy 158.801364 84.329241) + (xy 158.669058 84.274438) + (xy 158.528603 84.2465) + (xy 158.385397 84.2465) + (xy 158.244942 84.274438) + (xy 158.112636 84.329241) + (xy 157.993564 84.408802) + (xy 157.892302 84.510064) + (xy 157.812741 84.629136) + (xy 157.757938 84.761442) + (xy 157.73 84.901897) + (xy 152.164 84.901897) + (xy 152.164 84.891897) + (xy 152.136062 84.751442) + (xy 152.081259 84.619136) + (xy 152.001698 84.500064) + (xy 151.900436 84.398802) + (xy 151.781364 84.319241) + (xy 151.649058 84.264438) + (xy 151.508603 84.2365) + (xy 151.365397 84.2365) + (xy 151.224942 84.264438) + (xy 151.092636 84.319241) + (xy 150.973564 84.398802) + (xy 150.872302 84.500064) + (xy 150.792741 84.619136) + (xy 150.737938 84.751442) + (xy 150.71 84.891897) + (xy 127.071 84.891897) + (xy 127.071 83.081893) + (xy 127.36001 83.081893) + (xy 127.36001 83.225099) + (xy 127.387948 83.365554) + (xy 127.442751 83.49786) + (xy 127.522312 83.616932) + (xy 127.623574 83.718194) + (xy 127.742646 83.797755) + (xy 127.874952 83.852558) + (xy 128.015407 83.880496) + (xy 128.158613 83.880496) + (xy 128.299068 83.852558) + (xy 128.431374 83.797755) + (xy 128.550446 83.718194) + (xy 128.651708 83.616932) + (xy 128.731269 83.49786) + (xy 128.786072 83.365554) + (xy 128.81401 83.225099) + (xy 128.81401 83.081893) + (xy 128.808044 83.051897) + (xy 129.649982 83.051897) + (xy 129.649982 83.195103) + (xy 129.67792 83.335558) + (xy 129.732723 83.467864) + (xy 129.812284 83.586936) + (xy 129.913546 83.688198) + (xy 130.032618 83.767759) + (xy 130.164924 83.822562) + (xy 130.305379 83.8505) + (xy 130.448585 83.8505) + (xy 130.58904 83.822562) + (xy 130.721346 83.767759) + (xy 130.840418 83.688198) + (xy 130.94168 83.586936) + (xy 131.021241 83.467864) + (xy 131.076044 83.335558) + (xy 131.103982 83.195103) + (xy 131.103982 83.051897) + (xy 131.076044 82.911442) + (xy 131.021241 82.779136) + (xy 130.94168 82.660064) + (xy 130.840418 82.558802) + (xy 130.721346 82.479241) + (xy 130.58904 82.424438) + (xy 130.448585 82.3965) + (xy 130.305379 82.3965) + (xy 130.164924 82.424438) + (xy 130.032618 82.479241) + (xy 129.913546 82.558802) + (xy 129.812284 82.660064) + (xy 129.732723 82.779136) + (xy 129.67792 82.911442) + (xy 129.649982 83.051897) + (xy 128.808044 83.051897) + (xy 128.786072 82.941438) + (xy 128.731269 82.809132) + (xy 128.651708 82.69006) + (xy 128.550446 82.588798) + (xy 128.431374 82.509237) + (xy 128.299068 82.454434) + (xy 128.158613 82.426496) + (xy 128.015407 82.426496) + (xy 127.874952 82.454434) + (xy 127.742646 82.509237) + (xy 127.623574 82.588798) + (xy 127.522312 82.69006) + (xy 127.442751 82.809132) + (xy 127.387948 82.941438) + (xy 127.36001 83.081893) + (xy 127.071 83.081893) + (xy 127.071 82.281897) + (xy 132.92 82.281897) + (xy 132.92 82.425103) + (xy 132.947938 82.565558) + (xy 133.002741 82.697864) + (xy 133.082302 82.816936) + (xy 133.183564 82.918198) + (xy 133.302636 82.997759) + (xy 133.434942 83.052562) + (xy 133.575397 83.0805) + (xy 133.718603 83.0805) + (xy 133.859058 83.052562) + (xy 133.991364 82.997759) + (xy 134.089933 82.931897) + (xy 136.52 82.931897) + (xy 136.52 83.075103) + (xy 136.547938 83.215558) + (xy 136.602741 83.347864) + (xy 136.682302 83.466936) + (xy 136.783564 83.568198) + (xy 136.902636 83.647759) + (xy 137.034942 83.702562) + (xy 137.175397 83.7305) + (xy 137.318603 83.7305) + (xy 137.459058 83.702562) + (xy 137.591364 83.647759) + (xy 137.615103 83.631897) + (xy 140.72 83.631897) + (xy 140.72 83.775103) + (xy 140.747938 83.915558) + (xy 140.802741 84.047864) + (xy 140.882302 84.166936) + (xy 140.983564 84.268198) + (xy 141.102636 84.347759) + (xy 141.234942 84.402562) + (xy 141.375397 84.4305) + (xy 141.518603 84.4305) + (xy 141.659058 84.402562) + (xy 141.791364 84.347759) + (xy 141.910436 84.268198) + (xy 142.011698 84.166936) + (xy 142.091259 84.047864) + (xy 142.146062 83.915558) + (xy 142.174 83.775103) + (xy 142.174 83.631897) + (xy 142.146062 83.491442) + (xy 142.091259 83.359136) + (xy 142.011698 83.240064) + (xy 141.910436 83.138802) + (xy 141.791364 83.059241) + (xy 141.659058 83.004438) + (xy 141.518603 82.9765) + (xy 141.375397 82.9765) + (xy 141.234942 83.004438) + (xy 141.102636 83.059241) + (xy 140.983564 83.138802) + (xy 140.882302 83.240064) + (xy 140.802741 83.359136) + (xy 140.747938 83.491442) + (xy 140.72 83.631897) + (xy 137.615103 83.631897) + (xy 137.710436 83.568198) + (xy 137.811698 83.466936) + (xy 137.891259 83.347864) + (xy 137.946062 83.215558) + (xy 137.974 83.075103) + (xy 137.974 82.931897) + (xy 137.946062 82.791442) + (xy 137.910419 82.705391) + (xy 137.972646 82.74697) + (xy 138.104952 82.801773) + (xy 138.245407 82.829711) + (xy 138.388613 82.829711) + (xy 138.529068 82.801773) + (xy 138.560228 82.788866) + (xy 138.572302 82.806936) + (xy 138.673564 82.908198) + (xy 138.792636 82.987759) + (xy 138.924942 83.042562) + (xy 139.065397 83.0705) + (xy 139.208603 83.0705) + (xy 139.349058 83.042562) + (xy 139.481364 82.987759) + (xy 139.600436 82.908198) + (xy 139.701698 82.806936) + (xy 139.781259 82.687864) + (xy 139.836062 82.555558) + (xy 139.864 82.415103) + (xy 139.864 82.271897) + (xy 139.836062 82.131442) + (xy 139.781259 81.999136) + (xy 139.701698 81.880064) + (xy 139.600436 81.778802) + (xy 139.481364 81.699241) + (xy 139.349058 81.644438) + (xy 139.235736 81.621897) + (xy 143.15 81.621897) + (xy 143.15 81.765103) + (xy 143.177938 81.905558) + (xy 143.232741 82.037864) + (xy 143.312302 82.156936) + (xy 143.413564 82.258198) + (xy 143.532636 82.337759) + (xy 143.664942 82.392562) + (xy 143.805397 82.4205) + (xy 143.948603 82.4205) + (xy 144.089058 82.392562) + (xy 144.221364 82.337759) + (xy 144.340436 82.258198) + (xy 144.441698 82.156936) + (xy 144.521259 82.037864) + (xy 144.576062 81.905558) + (xy 144.604 81.765103) + (xy 144.604 81.621897) + (xy 144.576062 81.481442) + (xy 144.521259 81.349136) + (xy 144.482233 81.290729) + (xy 144.534942 81.312562) + (xy 144.675397 81.3405) + (xy 144.818603 81.3405) + (xy 144.939046 81.316543) + (xy 144.928405 81.370037) + (xy 144.928405 81.513243) + (xy 144.956343 81.653698) + (xy 145.011146 81.786004) + (xy 145.090707 81.905076) + (xy 145.191969 82.006338) + (xy 145.311041 82.085899) + (xy 145.311181 82.085957) + (xy 145.31 82.091897) + (xy 145.31 82.235103) + (xy 145.337938 82.375558) + (xy 145.392741 82.507864) + (xy 145.472302 82.626936) + (xy 145.573564 82.728198) + (xy 145.64798 82.777921) + (xy 145.564942 82.794438) + (xy 145.432636 82.849241) + (xy 145.313564 82.928802) + (xy 145.212302 83.030064) + (xy 145.132741 83.149136) + (xy 145.077938 83.281442) + (xy 145.05 83.421897) + (xy 145.05 83.565103) + (xy 145.077938 83.705558) + (xy 145.132741 83.837864) + (xy 145.212302 83.956936) + (xy 145.313564 84.058198) + (xy 145.432636 84.137759) + (xy 145.564942 84.192562) + (xy 145.705397 84.2205) + (xy 145.848603 84.2205) + (xy 145.989058 84.192562) + (xy 146.121364 84.137759) + (xy 146.240436 84.058198) + (xy 146.341698 83.956936) + (xy 146.421259 83.837864) + (xy 146.476062 83.705558) + (xy 146.504 83.565103) + (xy 146.504 83.421897) + (xy 146.476062 83.281442) + (xy 146.421259 83.149136) + (xy 146.341698 83.030064) + (xy 146.303531 82.991897) + (xy 149.99 82.991897) + (xy 149.99 83.135103) + (xy 150.017938 83.275558) + (xy 150.072741 83.407864) + (xy 150.152302 83.526936) + (xy 150.253564 83.628198) + (xy 150.372636 83.707759) + (xy 150.504942 83.762562) + (xy 150.645397 83.7905) + (xy 150.788603 83.7905) + (xy 150.929058 83.762562) + (xy 151.061364 83.707759) + (xy 151.130001 83.661897) + (xy 152.6 83.661897) + (xy 152.6 83.805103) + (xy 152.627938 83.945558) + (xy 152.682741 84.077864) + (xy 152.762302 84.196936) + (xy 152.863564 84.298198) + (xy 152.982636 84.377759) + (xy 153.114942 84.432562) + (xy 153.255397 84.4605) + (xy 153.398603 84.4605) + (xy 153.539058 84.432562) + (xy 153.671364 84.377759) + (xy 153.790436 84.298198) + (xy 153.891698 84.196936) + (xy 153.971259 84.077864) + (xy 154.026062 83.945558) + (xy 154.054 83.805103) + (xy 154.054 83.661897) + (xy 154.026062 83.521442) + (xy 153.971259 83.389136) + (xy 153.891698 83.270064) + (xy 153.790436 83.168802) + (xy 153.671364 83.089241) + (xy 153.636835 83.074939) + (xy 153.699058 83.062562) + (xy 153.831364 83.007759) + (xy 153.950436 82.928198) + (xy 154.051698 82.826936) + (xy 154.131259 82.707864) + (xy 154.186062 82.575558) + (xy 154.214 82.435103) + (xy 154.214 82.291897) + (xy 154.186062 82.151442) + (xy 154.131259 82.019136) + (xy 154.051698 81.900064) + (xy 153.950436 81.798802) + (xy 153.831364 81.719241) + (xy 153.699058 81.664438) + (xy 153.558603 81.6365) + (xy 153.415397 81.6365) + (xy 153.274942 81.664438) + (xy 153.142636 81.719241) + (xy 153.023564 81.798802) + (xy 152.922302 81.900064) + (xy 152.842741 82.019136) + (xy 152.787938 82.151442) + (xy 152.76 82.291897) + (xy 152.76 82.435103) + (xy 152.787938 82.575558) + (xy 152.842741 82.707864) + (xy 152.922302 82.826936) + (xy 153.023564 82.928198) + (xy 153.142636 83.007759) + (xy 153.177165 83.022061) + (xy 153.114942 83.034438) + (xy 152.982636 83.089241) + (xy 152.863564 83.168802) + (xy 152.762302 83.270064) + (xy 152.682741 83.389136) + (xy 152.627938 83.521442) + (xy 152.6 83.661897) + (xy 151.130001 83.661897) + (xy 151.180436 83.628198) + (xy 151.281698 83.526936) + (xy 151.361259 83.407864) + (xy 151.414004 83.280526) + (xy 151.424844 83.287769) + (xy 151.55715 83.342572) + (xy 151.697605 83.37051) + (xy 151.840811 83.37051) + (xy 151.981266 83.342572) + (xy 152.113572 83.287769) + (xy 152.232644 83.208208) + (xy 152.333906 83.106946) + (xy 152.413467 82.987874) + (xy 152.46827 82.855568) + (xy 152.496208 82.715113) + (xy 152.496208 82.571907) + (xy 152.46827 82.431452) + (xy 152.413467 82.299146) + (xy 152.333906 82.180074) + (xy 152.232644 82.078812) + (xy 152.113572 81.999251) + (xy 151.981266 81.944448) + (xy 151.840811 81.91651) + (xy 151.697605 81.91651) + (xy 151.55715 81.944448) + (xy 151.424844 81.999251) + (xy 151.305772 82.078812) + (xy 151.20451 82.180074) + (xy 151.124949 82.299146) + (xy 151.072204 82.426484) + (xy 151.061364 82.419241) + (xy 150.929058 82.364438) + (xy 150.788603 82.3365) + (xy 150.645397 82.3365) + (xy 150.504942 82.364438) + (xy 150.372636 82.419241) + (xy 150.253564 82.498802) + (xy 150.152302 82.600064) + (xy 150.072741 82.719136) + (xy 150.017938 82.851442) + (xy 149.99 82.991897) + (xy 146.303531 82.991897) + (xy 146.240436 82.928802) + (xy 146.16602 82.879079) + (xy 146.249058 82.862562) + (xy 146.381364 82.807759) + (xy 146.500436 82.728198) + (xy 146.601698 82.626936) + (xy 146.681259 82.507864) + (xy 146.736062 82.375558) + (xy 146.764 82.235103) + (xy 146.764 82.091897) + (xy 146.746244 82.00263) + (xy 148.110723 82.00263) + (xy 148.110723 82.145836) + (xy 148.138661 82.286291) + (xy 148.193464 82.418597) + (xy 148.273025 82.537669) + (xy 148.374287 82.638931) + (xy 148.493359 82.718492) + (xy 148.625665 82.773295) + (xy 148.76612 82.801233) + (xy 148.909326 82.801233) + (xy 149.049781 82.773295) + (xy 149.182087 82.718492) + (xy 149.301159 82.638931) + (xy 149.402421 82.537669) + (xy 149.481982 82.418597) + (xy 149.536785 82.286291) + (xy 149.564723 82.145836) + (xy 149.564723 82.00263) + (xy 149.536785 81.862175) + (xy 149.481982 81.729869) + (xy 149.402421 81.610797) + (xy 149.301159 81.509535) + (xy 149.182087 81.429974) + (xy 149.049781 81.375171) + (xy 148.909326 81.347233) + (xy 148.76612 81.347233) + (xy 148.625665 81.375171) + (xy 148.493359 81.429974) + (xy 148.374287 81.509535) + (xy 148.273025 81.610797) + (xy 148.193464 81.729869) + (xy 148.138661 81.862175) + (xy 148.110723 82.00263) + (xy 146.746244 82.00263) + (xy 146.736062 81.951442) + (xy 146.681259 81.819136) + (xy 146.601698 81.700064) + (xy 146.500436 81.598802) + (xy 146.381364 81.519241) + (xy 146.381224 81.519183) + (xy 146.382405 81.513243) + (xy 146.382405 81.370037) + (xy 146.366863 81.291897) + (xy 149.75 81.291897) + (xy 149.75 81.435103) + (xy 149.777938 81.575558) + (xy 149.832741 81.707864) + (xy 149.912302 81.826936) + (xy 150.013564 81.928198) + (xy 150.132636 82.007759) + (xy 150.264942 82.062562) + (xy 150.405397 82.0905) + (xy 150.548603 82.0905) + (xy 150.689058 82.062562) + (xy 150.821364 82.007759) + (xy 150.940436 81.928198) + (xy 151.041698 81.826936) + (xy 151.121259 81.707864) + (xy 151.176062 81.575558) + (xy 151.204 81.435103) + (xy 151.204 81.291897) + (xy 151.176062 81.151442) + (xy 151.121259 81.019136) + (xy 151.041698 80.900064) + (xy 150.940436 80.798802) + (xy 150.821364 80.719241) + (xy 150.689058 80.664438) + (xy 150.548603 80.6365) + (xy 150.405397 80.6365) + (xy 150.264942 80.664438) + (xy 150.132636 80.719241) + (xy 150.013564 80.798802) + (xy 149.912302 80.900064) + (xy 149.832741 81.019136) + (xy 149.777938 81.151442) + (xy 149.75 81.291897) + (xy 146.366863 81.291897) + (xy 146.354467 81.229582) + (xy 146.299664 81.097276) + (xy 146.220103 80.978204) + (xy 146.118841 80.876942) + (xy 145.999769 80.797381) + (xy 145.867463 80.742578) + (xy 145.727008 80.71464) + (xy 145.583802 80.71464) + (xy 145.463359 80.738597) + (xy 145.474 80.685103) + (xy 145.474 80.541897) + (xy 145.446062 80.401442) + (xy 145.391259 80.269136) + (xy 145.311698 80.150064) + (xy 145.210436 80.048802) + (xy 145.091364 79.969241) + (xy 144.959058 79.914438) + (xy 144.818603 79.8865) + (xy 144.675397 79.8865) + (xy 144.534942 79.914438) + (xy 144.402636 79.969241) + (xy 144.283564 80.048802) + (xy 144.182302 80.150064) + (xy 144.102741 80.269136) + (xy 144.047938 80.401442) + (xy 144.02 80.541897) + (xy 144.02 80.685103) + (xy 144.047938 80.825558) + (xy 144.102741 80.957864) + (xy 144.141767 81.016271) + (xy 144.089058 80.994438) + (xy 143.948603 80.9665) + (xy 143.805397 80.9665) + (xy 143.664942 80.994438) + (xy 143.532636 81.049241) + (xy 143.413564 81.128802) + (xy 143.312302 81.230064) + (xy 143.232741 81.349136) + (xy 143.177938 81.481442) + (xy 143.15 81.621897) + (xy 139.235736 81.621897) + (xy 139.208603 81.6165) + (xy 139.065397 81.6165) + (xy 138.924942 81.644438) + (xy 138.893782 81.657345) + (xy 138.881708 81.639275) + (xy 138.780446 81.538013) + (xy 138.661374 81.458452) + (xy 138.529068 81.403649) + (xy 138.388613 81.375711) + (xy 138.245407 81.375711) + (xy 138.104952 81.403649) + (xy 137.972646 81.458452) + (xy 137.853574 81.538013) + (xy 137.752312 81.639275) + (xy 137.672751 81.758347) + (xy 137.617948 81.890653) + (xy 137.59001 82.031108) + (xy 137.59001 82.174314) + (xy 137.617948 82.314769) + (xy 137.653591 82.40082) + (xy 137.591364 82.359241) + (xy 137.459058 82.304438) + (xy 137.318603 82.2765) + (xy 137.175397 82.2765) + (xy 137.034942 82.304438) + (xy 136.902636 82.359241) + (xy 136.783564 82.438802) + (xy 136.682302 82.540064) + (xy 136.602741 82.659136) + (xy 136.547938 82.791442) + (xy 136.52 82.931897) + (xy 134.089933 82.931897) + (xy 134.110436 82.918198) + (xy 134.211698 82.816936) + (xy 134.291259 82.697864) + (xy 134.346062 82.565558) + (xy 134.374 82.425103) + (xy 134.374 82.281897) + (xy 134.346062 82.141442) + (xy 134.291259 82.009136) + (xy 134.211698 81.890064) + (xy 134.110436 81.788802) + (xy 133.991364 81.709241) + (xy 133.859058 81.654438) + (xy 133.718603 81.6265) + (xy 133.575397 81.6265) + (xy 133.434942 81.654438) + (xy 133.302636 81.709241) + (xy 133.183564 81.788802) + (xy 133.082302 81.890064) + (xy 133.002741 82.009136) + (xy 132.947938 82.141442) + (xy 132.92 82.281897) + (xy 127.071 82.281897) + (xy 127.071 79.587609) + (xy 127.09838 79.308366) + (xy 127.103352 79.291897) + (xy 128.5 79.291897) + (xy 128.5 79.435103) + (xy 128.527938 79.575558) + (xy 128.582741 79.707864) + (xy 128.662302 79.826936) + (xy 128.763564 79.928198) + (xy 128.882636 80.007759) + (xy 129.014942 80.062562) + (xy 129.155397 80.0905) + (xy 129.298603 80.0905) + (xy 129.439058 80.062562) + (xy 129.571364 80.007759) + (xy 129.690436 79.928198) + (xy 129.791698 79.826936) + (xy 129.871259 79.707864) + (xy 129.926062 79.575558) + (xy 129.954 79.435103) + (xy 129.954 79.291897) + (xy 129.926062 79.151442) + (xy 129.871259 79.019136) + (xy 129.791698 78.900064) + (xy 129.766634 78.875) + (xy 130.642934 78.875) + (xy 130.642934 79.875) + (xy 130.651178 79.958707) + (xy 130.675595 80.039196) + (xy 130.715245 80.113376) + (xy 130.768605 80.178395) + (xy 130.833624 80.231755) + (xy 130.907804 80.271405) + (xy 130.988293 80.295822) + (xy 131.072 80.304066) + (xy 132.072 80.304066) + (xy 132.155707 80.295822) + (xy 132.236196 80.271405) + (xy 132.310376 80.231755) + (xy 132.375395 80.178395) + (xy 132.428755 80.113376) + (xy 132.468405 80.039196) + (xy 132.492822 79.958707) + (xy 132.501066 79.875) + (xy 132.501066 79.081897) + (xy 132.6 79.081897) + (xy 132.6 79.225103) + (xy 132.627938 79.365558) + (xy 132.682741 79.497864) + (xy 132.762302 79.616936) + (xy 132.863564 79.718198) + (xy 132.982636 79.797759) + (xy 133.114942 79.852562) + (xy 133.255397 79.8805) + (xy 133.398603 79.8805) + (xy 133.539058 79.852562) + (xy 133.671364 79.797759) + (xy 133.790436 79.718198) + (xy 133.891698 79.616936) + (xy 133.971259 79.497864) + (xy 134.026062 79.365558) + (xy 134.054 79.225103) + (xy 134.054 79.081897) + (xy 134.048276 79.053116) + (xy 136.204677 79.053116) + (xy 136.204677 79.196322) + (xy 136.232615 79.336777) + (xy 136.287418 79.469083) + (xy 136.366979 79.588155) + (xy 136.468241 79.689417) + (xy 136.587313 79.768978) + (xy 136.719619 79.823781) + (xy 136.860074 79.851719) + (xy 137.00328 79.851719) + (xy 137.143735 79.823781) + (xy 137.276041 79.768978) + (xy 137.301604 79.751897) + (xy 137.85 79.751897) + (xy 137.85 79.895103) + (xy 137.877938 80.035558) + (xy 137.932741 80.167864) + (xy 138.012302 80.286936) + (xy 138.113564 80.388198) + (xy 138.232636 80.467759) + (xy 138.364942 80.522562) + (xy 138.505397 80.5505) + (xy 138.648603 80.5505) + (xy 138.789058 80.522562) + (xy 138.921364 80.467759) + (xy 139.040436 80.388198) + (xy 139.141698 80.286936) + (xy 139.221259 80.167864) + (xy 139.276062 80.035558) + (xy 139.304 79.895103) + (xy 139.304 79.751897) + (xy 139.276062 79.611442) + (xy 139.260069 79.57283) + (xy 147.832389 79.57283) + (xy 147.832389 79.716036) + (xy 147.860327 79.856491) + (xy 147.91513 79.988797) + (xy 147.994691 80.107869) + (xy 148.095953 80.209131) + (xy 148.215025 80.288692) + (xy 148.347331 80.343495) + (xy 148.487786 80.371433) + (xy 148.630992 80.371433) + (xy 148.771447 80.343495) + (xy 148.903753 80.288692) + (xy 149.022825 80.209131) + (xy 149.040059 80.191897) + (xy 154.48 80.191897) + (xy 154.48 80.335103) + (xy 154.507938 80.475558) + (xy 154.562741 80.607864) + (xy 154.642302 80.726936) + (xy 154.743564 80.828198) + (xy 154.862636 80.907759) + (xy 154.994942 80.962562) + (xy 155.135397 80.9905) + (xy 155.278603 80.9905) + (xy 155.419058 80.962562) + (xy 155.551364 80.907759) + (xy 155.605035 80.871897) + (xy 158.21 80.871897) + (xy 158.21 81.015103) + (xy 158.237938 81.155558) + (xy 158.292741 81.287864) + (xy 158.372302 81.406936) + (xy 158.473564 81.508198) + (xy 158.592636 81.587759) + (xy 158.724942 81.642562) + (xy 158.865397 81.6705) + (xy 159.008603 81.6705) + (xy 159.149058 81.642562) + (xy 159.281364 81.587759) + (xy 159.400436 81.508198) + (xy 159.501698 81.406936) + (xy 159.581259 81.287864) + (xy 159.636062 81.155558) + (xy 159.664 81.015103) + (xy 159.664 80.871897) + (xy 159.636062 80.731442) + (xy 159.581259 80.599136) + (xy 159.501698 80.480064) + (xy 159.400436 80.378802) + (xy 159.281364 80.299241) + (xy 159.149058 80.244438) + (xy 159.008603 80.2165) + (xy 158.865397 80.2165) + (xy 158.724942 80.244438) + (xy 158.592636 80.299241) + (xy 158.473564 80.378802) + (xy 158.372302 80.480064) + (xy 158.292741 80.599136) + (xy 158.237938 80.731442) + (xy 158.21 80.871897) + (xy 155.605035 80.871897) + (xy 155.670436 80.828198) + (xy 155.771698 80.726936) + (xy 155.851259 80.607864) + (xy 155.906062 80.475558) + (xy 155.934 80.335103) + (xy 155.934 80.191897) + (xy 155.906062 80.051442) + (xy 155.851259 79.919136) + (xy 155.771698 79.800064) + (xy 155.670436 79.698802) + (xy 155.551364 79.619241) + (xy 155.419058 79.564438) + (xy 155.278603 79.5365) + (xy 155.135397 79.5365) + (xy 154.994942 79.564438) + (xy 154.862636 79.619241) + (xy 154.743564 79.698802) + (xy 154.642302 79.800064) + (xy 154.562741 79.919136) + (xy 154.507938 80.051442) + (xy 154.48 80.191897) + (xy 149.040059 80.191897) + (xy 149.124087 80.107869) + (xy 149.203648 79.988797) + (xy 149.258451 79.856491) + (xy 149.286389 79.716036) + (xy 149.286389 79.57283) + (xy 149.258451 79.432375) + (xy 149.233401 79.371897) + (xy 149.7 79.371897) + (xy 149.7 79.515103) + (xy 149.727938 79.655558) + (xy 149.782741 79.787864) + (xy 149.862302 79.906936) + (xy 149.963564 80.008198) + (xy 150.082636 80.087759) + (xy 150.214942 80.142562) + (xy 150.355397 80.1705) + (xy 150.498603 80.1705) + (xy 150.639058 80.142562) + (xy 150.771364 80.087759) + (xy 150.890436 80.008198) + (xy 150.991698 79.906936) + (xy 151.071259 79.787864) + (xy 151.126062 79.655558) + (xy 151.154 79.515103) + (xy 151.154 79.371897) + (xy 151.126062 79.231442) + (xy 151.071259 79.099136) + (xy 150.991698 78.980064) + (xy 150.890436 78.878802) + (xy 150.771364 78.799241) + (xy 150.639058 78.744438) + (xy 150.498603 78.7165) + (xy 150.355397 78.7165) + (xy 150.214942 78.744438) + (xy 150.082636 78.799241) + (xy 149.963564 78.878802) + (xy 149.862302 78.980064) + (xy 149.782741 79.099136) + (xy 149.727938 79.231442) + (xy 149.7 79.371897) + (xy 149.233401 79.371897) + (xy 149.203648 79.300069) + (xy 149.124087 79.180997) + (xy 149.022825 79.079735) + (xy 148.903753 79.000174) + (xy 148.771447 78.945371) + (xy 148.630992 78.917433) + (xy 148.487786 78.917433) + (xy 148.347331 78.945371) + (xy 148.215025 79.000174) + (xy 148.095953 79.079735) + (xy 147.994691 79.180997) + (xy 147.91513 79.300069) + (xy 147.860327 79.432375) + (xy 147.832389 79.57283) + (xy 139.260069 79.57283) + (xy 139.221259 79.479136) + (xy 139.141698 79.360064) + (xy 139.040436 79.258802) + (xy 138.921364 79.179241) + (xy 138.789058 79.124438) + (xy 138.648603 79.0965) + (xy 138.505397 79.0965) + (xy 138.364942 79.124438) + (xy 138.232636 79.179241) + (xy 138.113564 79.258802) + (xy 138.012302 79.360064) + (xy 137.932741 79.479136) + (xy 137.877938 79.611442) + (xy 137.85 79.751897) + (xy 137.301604 79.751897) + (xy 137.395113 79.689417) + (xy 137.496375 79.588155) + (xy 137.575936 79.469083) + (xy 137.630739 79.336777) + (xy 137.658677 79.196322) + (xy 137.658677 79.053116) + (xy 137.630739 78.912661) + (xy 137.575936 78.780355) + (xy 137.496375 78.661283) + (xy 137.395113 78.560021) + (xy 137.276041 78.48046) + (xy 137.143735 78.425657) + (xy 137.00328 78.397719) + (xy 136.860074 78.397719) + (xy 136.719619 78.425657) + (xy 136.587313 78.48046) + (xy 136.468241 78.560021) + (xy 136.366979 78.661283) + (xy 136.287418 78.780355) + (xy 136.232615 78.912661) + (xy 136.204677 79.053116) + (xy 134.048276 79.053116) + (xy 134.026062 78.941442) + (xy 133.971259 78.809136) + (xy 133.891698 78.690064) + (xy 133.790436 78.588802) + (xy 133.671364 78.509241) + (xy 133.539058 78.454438) + (xy 133.398603 78.4265) + (xy 133.255397 78.4265) + (xy 133.114942 78.454438) + (xy 132.982636 78.509241) + (xy 132.863564 78.588802) + (xy 132.762302 78.690064) + (xy 132.682741 78.809136) + (xy 132.627938 78.941442) + (xy 132.6 79.081897) + (xy 132.501066 79.081897) + (xy 132.501066 78.875) + (xy 132.492822 78.791293) + (xy 132.468405 78.710804) + (xy 132.428755 78.636624) + (xy 132.375395 78.571605) + (xy 132.310376 78.518245) + (xy 132.236196 78.478595) + (xy 132.155707 78.454178) + (xy 132.072 78.445934) + (xy 131.072 78.445934) + (xy 130.988293 78.454178) + (xy 130.907804 78.478595) + (xy 130.833624 78.518245) + (xy 130.768605 78.571605) + (xy 130.715245 78.636624) + (xy 130.675595 78.710804) + (xy 130.651178 78.791293) + (xy 130.642934 78.875) + (xy 129.766634 78.875) + (xy 129.690436 78.798802) + (xy 129.571364 78.719241) + (xy 129.439058 78.664438) + (xy 129.298603 78.6365) + (xy 129.155397 78.6365) + (xy 129.014942 78.664438) + (xy 128.882636 78.719241) + (xy 128.763564 78.798802) + (xy 128.662302 78.900064) + (xy 128.582741 79.019136) + (xy 128.527938 79.151442) + (xy 128.5 79.291897) + (xy 127.103352 79.291897) + (xy 127.173055 79.061027) + (xy 127.294351 78.832903) + (xy 127.457648 78.632681) + (xy 127.656721 78.467993) + (xy 127.883994 78.345107) + (xy 128.130806 78.268706) + (xy 128.408686 78.2395) (xy 152.736856 78.2395) ) ) ) - (zone (net 1) (net_name GND) (layer In2.Cu) (tstamp 617F3B53) (hatch edge 0.508) + (zone (net 1) (net_name "GND") (layer "In2.Cu") (tstamp 00000000-0000-0000-0000-0000617f3b53) (hatch edge 0.508) (connect_pads (clearance 0.3)) (min_thickness 0.254) - (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) (polygon (pts - (xy 160.893014 77.8135) (xy 160.893014 119.9775) (xy 126.603014 119.9775) (xy 126.603014 77.8135) + (xy 160.893014 77.8135) + (xy 160.893014 119.9775) + (xy 126.603014 119.9775) + (xy 126.603014 77.8135) ) ) (filled_polygon + (layer "In2.Cu") (pts - (xy 159.388134 78.26688) (xy 159.635473 78.341555) (xy 159.863597 78.462851) (xy 160.063819 78.626148) (xy 160.228507 78.825221) - (xy 160.351393 79.052494) (xy 160.427794 79.299306) (xy 160.457001 79.577195) (xy 160.457 118.151391) (xy 160.42962 118.430633) - (xy 160.354943 118.677977) (xy 160.233649 118.906097) (xy 160.070352 119.106319) (xy 159.871279 119.271007) (xy 159.644008 119.393892) - (xy 159.397194 119.470294) (xy 159.119314 119.4995) (xy 128.419109 119.4995) (xy 128.139867 119.47212) (xy 127.892523 119.397443) - (xy 127.664403 119.276149) (xy 127.464181 119.112852) (xy 127.299493 118.913779) (xy 127.176608 118.686508) (xy 127.100206 118.439694) - (xy 127.071 118.161814) (xy 127.071 115.878358) (xy 128.402 115.878358) (xy 128.402 116.277642) (xy 128.479896 116.669254) - (xy 128.632696 117.038145) (xy 128.854526 117.370137) (xy 129.136863 117.652474) (xy 129.468855 117.874304) (xy 129.837746 118.027104) - (xy 130.229358 118.105) (xy 130.628642 118.105) (xy 131.020254 118.027104) (xy 131.389145 117.874304) (xy 131.721137 117.652474) - (xy 132.003474 117.370137) (xy 132.225304 117.038145) (xy 132.378104 116.669254) (xy 132.401712 116.550566) (xy 134.557539 116.550566) - (xy 134.659339 116.820079) (xy 134.957477 116.965963) (xy 135.278346 117.05088) (xy 135.609617 117.071566) (xy 135.938557 117.027228) - (xy 136.252527 116.919569) (xy 136.438661 116.820079) (xy 136.540461 116.550566) (xy 135.549 115.559105) (xy 134.557539 116.550566) - (xy 132.401712 116.550566) (xy 132.456 116.277642) (xy 132.456 115.878358) (xy 132.378104 115.486746) (xy 132.35879 115.440117) - (xy 133.856934 115.440117) (xy 133.901272 115.769057) (xy 134.008931 116.083027) (xy 134.108421 116.269161) (xy 134.377934 116.370961) - (xy 135.369395 115.3795) (xy 135.728605 115.3795) (xy 136.720066 116.370961) (xy 136.891766 116.306107) (xy 136.901739 116.321033) - (xy 137.107467 116.526761) (xy 137.349378 116.688401) (xy 137.618175 116.79974) (xy 137.903528 116.8565) (xy 138.194472 116.8565) - (xy 138.479825 116.79974) (xy 138.748622 116.688401) (xy 138.990533 116.526761) (xy 139.196261 116.321033) (xy 139.299 116.167273) - (xy 139.401739 116.321033) (xy 139.607467 116.526761) (xy 139.849378 116.688401) (xy 140.118175 116.79974) (xy 140.403528 116.8565) - (xy 140.694472 116.8565) (xy 140.979825 116.79974) (xy 141.248622 116.688401) (xy 141.454906 116.550566) (xy 146.392039 116.550566) - (xy 146.493839 116.820079) (xy 146.791977 116.965963) (xy 147.112846 117.05088) (xy 147.444117 117.071566) (xy 147.773057 117.027228) - (xy 148.087027 116.919569) (xy 148.273161 116.820079) (xy 148.374961 116.550566) (xy 147.3835 115.559105) (xy 146.392039 116.550566) - (xy 141.454906 116.550566) (xy 141.490533 116.526761) (xy 141.696261 116.321033) (xy 141.857901 116.079122) (xy 141.96924 115.810325) - (xy 142.026 115.524972) (xy 142.026 115.440117) (xy 145.691434 115.440117) (xy 145.735772 115.769057) (xy 145.843431 116.083027) - (xy 145.942921 116.269161) (xy 146.212434 116.370961) (xy 147.203895 115.3795) (xy 147.563105 115.3795) (xy 148.554566 116.370961) - (xy 148.726266 116.306107) (xy 148.736239 116.321033) (xy 148.941967 116.526761) (xy 149.183878 116.688401) (xy 149.452675 116.79974) - (xy 149.738028 116.8565) (xy 150.028972 116.8565) (xy 150.314325 116.79974) (xy 150.583122 116.688401) (xy 150.825033 116.526761) - (xy 151.030761 116.321033) (xy 151.1335 116.167273) (xy 151.236239 116.321033) (xy 151.441967 116.526761) (xy 151.683878 116.688401) - (xy 151.952675 116.79974) (xy 152.238028 116.8565) (xy 152.528972 116.8565) (xy 152.814325 116.79974) (xy 153.083122 116.688401) - (xy 153.325033 116.526761) (xy 153.530761 116.321033) (xy 153.692401 116.079122) (xy 153.749257 115.941858) (xy 155.072 115.941858) - (xy 155.072 116.341142) (xy 155.149896 116.732754) (xy 155.302696 117.101645) (xy 155.524526 117.433637) (xy 155.806863 117.715974) - (xy 156.138855 117.937804) (xy 156.507746 118.090604) (xy 156.899358 118.1685) (xy 157.298642 118.1685) (xy 157.690254 118.090604) - (xy 158.059145 117.937804) (xy 158.391137 117.715974) (xy 158.673474 117.433637) (xy 158.895304 117.101645) (xy 159.048104 116.732754) - (xy 159.126 116.341142) (xy 159.126 115.941858) (xy 159.048104 115.550246) (xy 158.895304 115.181355) (xy 158.673474 114.849363) - (xy 158.391137 114.567026) (xy 158.059145 114.345196) (xy 157.690254 114.192396) (xy 157.298642 114.1145) (xy 156.899358 114.1145) - (xy 156.507746 114.192396) (xy 156.138855 114.345196) (xy 155.806863 114.567026) (xy 155.524526 114.849363) (xy 155.302696 115.181355) - (xy 155.149896 115.550246) (xy 155.072 115.941858) (xy 153.749257 115.941858) (xy 153.80374 115.810325) (xy 153.8605 115.524972) - (xy 153.8605 115.234028) (xy 153.80374 114.948675) (xy 153.692401 114.679878) (xy 153.530761 114.437967) (xy 153.325033 114.232239) - (xy 153.083122 114.070599) (xy 152.814325 113.95926) (xy 152.528972 113.9025) (xy 152.238028 113.9025) (xy 151.952675 113.95926) - (xy 151.683878 114.070599) (xy 151.441967 114.232239) (xy 151.236239 114.437967) (xy 151.1335 114.591727) (xy 151.030761 114.437967) - (xy 150.825033 114.232239) (xy 150.583122 114.070599) (xy 150.314325 113.95926) (xy 150.028972 113.9025) (xy 149.738028 113.9025) - (xy 149.452675 113.95926) (xy 149.183878 114.070599) (xy 148.941967 114.232239) (xy 148.736239 114.437967) (xy 148.726266 114.452893) - (xy 148.554566 114.388039) (xy 147.563105 115.3795) (xy 147.203895 115.3795) (xy 146.212434 114.388039) (xy 145.942921 114.489839) - (xy 145.797037 114.787977) (xy 145.71212 115.108846) (xy 145.691434 115.440117) (xy 142.026 115.440117) (xy 142.026 115.234028) - (xy 141.96924 114.948675) (xy 141.857901 114.679878) (xy 141.696261 114.437967) (xy 141.490533 114.232239) (xy 141.454907 114.208434) - (xy 146.392039 114.208434) (xy 147.3835 115.199895) (xy 148.374961 114.208434) (xy 148.273161 113.938921) (xy 147.975023 113.793037) - (xy 147.654154 113.70812) (xy 147.322883 113.687434) (xy 146.993943 113.731772) (xy 146.679973 113.839431) (xy 146.493839 113.938921) - (xy 146.392039 114.208434) (xy 141.454907 114.208434) (xy 141.248622 114.070599) (xy 140.979825 113.95926) (xy 140.694472 113.9025) - (xy 140.403528 113.9025) (xy 140.118175 113.95926) (xy 139.849378 114.070599) (xy 139.607467 114.232239) (xy 139.401739 114.437967) - (xy 139.299 114.591727) (xy 139.196261 114.437967) (xy 138.990533 114.232239) (xy 138.748622 114.070599) (xy 138.479825 113.95926) - (xy 138.194472 113.9025) (xy 137.903528 113.9025) (xy 137.618175 113.95926) (xy 137.349378 114.070599) (xy 137.107467 114.232239) - (xy 136.901739 114.437967) (xy 136.891766 114.452893) (xy 136.720066 114.388039) (xy 135.728605 115.3795) (xy 135.369395 115.3795) - (xy 134.377934 114.388039) (xy 134.108421 114.489839) (xy 133.962537 114.787977) (xy 133.87762 115.108846) (xy 133.856934 115.440117) - (xy 132.35879 115.440117) (xy 132.225304 115.117855) (xy 132.003474 114.785863) (xy 131.721137 114.503526) (xy 131.389145 114.281696) - (xy 131.212276 114.208434) (xy 134.557539 114.208434) (xy 135.549 115.199895) (xy 136.540461 114.208434) (xy 136.438661 113.938921) - (xy 136.140523 113.793037) (xy 135.819654 113.70812) (xy 135.488383 113.687434) (xy 135.159443 113.731772) (xy 134.845473 113.839431) - (xy 134.659339 113.938921) (xy 134.557539 114.208434) (xy 131.212276 114.208434) (xy 131.020254 114.128896) (xy 130.628642 114.051) - (xy 130.229358 114.051) (xy 129.837746 114.128896) (xy 129.468855 114.281696) (xy 129.136863 114.503526) (xy 128.854526 114.785863) - (xy 128.632696 115.117855) (xy 128.479896 115.486746) (xy 128.402 115.878358) (xy 127.071 115.878358) (xy 127.071 111.234048) - (xy 146.366 111.234048) (xy 146.366 111.396952) (xy 146.397782 111.556727) (xy 146.460123 111.707231) (xy 146.550628 111.842681) - (xy 146.665819 111.957872) (xy 146.801269 112.048377) (xy 146.951773 112.110718) (xy 147.111548 112.1425) (xy 147.274452 112.1425) - (xy 147.434227 112.110718) (xy 147.584731 112.048377) (xy 147.720181 111.957872) (xy 147.835372 111.842681) (xy 147.925877 111.707231) - (xy 147.988218 111.556727) (xy 148.02 111.396952) (xy 148.02 111.234048) (xy 147.988218 111.074273) (xy 147.925877 110.923769) - (xy 147.835372 110.788319) (xy 147.720181 110.673128) (xy 147.584731 110.582623) (xy 147.434227 110.520282) (xy 147.274452 110.4885) - (xy 147.111548 110.4885) (xy 146.951773 110.520282) (xy 146.801269 110.582623) (xy 146.665819 110.673128) (xy 146.550628 110.788319) - (xy 146.460123 110.923769) (xy 146.397782 111.074273) (xy 146.366 111.234048) (xy 127.071 111.234048) (xy 127.071 109.581897) - (xy 135.44 109.581897) (xy 135.44 109.725103) (xy 135.467938 109.865558) (xy 135.522741 109.997864) (xy 135.602302 110.116936) - (xy 135.703564 110.218198) (xy 135.822636 110.297759) (xy 135.954942 110.352562) (xy 136.095397 110.3805) (xy 136.238603 110.3805) - (xy 136.379058 110.352562) (xy 136.511364 110.297759) (xy 136.630436 110.218198) (xy 136.731698 110.116936) (xy 136.811259 109.997864) - (xy 136.842725 109.921897) (xy 138.75 109.921897) (xy 138.75 110.065103) (xy 138.777938 110.205558) (xy 138.832741 110.337864) - (xy 138.912302 110.456936) (xy 139.013564 110.558198) (xy 139.132636 110.637759) (xy 139.264942 110.692562) (xy 139.405397 110.7205) - (xy 139.548603 110.7205) (xy 139.689058 110.692562) (xy 139.821364 110.637759) (xy 139.940436 110.558198) (xy 140.041698 110.456936) - (xy 140.121259 110.337864) (xy 140.176062 110.205558) (xy 140.204 110.065103) (xy 140.204 109.921897) (xy 140.176062 109.781442) - (xy 140.121259 109.649136) (xy 140.041698 109.530064) (xy 139.940436 109.428802) (xy 139.821364 109.349241) (xy 139.689058 109.294438) - (xy 139.548603 109.2665) (xy 139.405397 109.2665) (xy 139.264942 109.294438) (xy 139.132636 109.349241) (xy 139.013564 109.428802) - (xy 138.912302 109.530064) (xy 138.832741 109.649136) (xy 138.777938 109.781442) (xy 138.75 109.921897) (xy 136.842725 109.921897) - (xy 136.866062 109.865558) (xy 136.894 109.725103) (xy 136.894 109.581897) (xy 136.866062 109.441442) (xy 136.811259 109.309136) - (xy 136.731698 109.190064) (xy 136.630436 109.088802) (xy 136.511364 109.009241) (xy 136.379058 108.954438) (xy 136.238603 108.9265) - (xy 136.095397 108.9265) (xy 135.954942 108.954438) (xy 135.822636 109.009241) (xy 135.703564 109.088802) (xy 135.602302 109.190064) - (xy 135.522741 109.309136) (xy 135.467938 109.441442) (xy 135.44 109.581897) (xy 127.071 109.581897) (xy 127.071 106.871897) - (xy 135.14 106.871897) (xy 135.14 107.015103) (xy 135.167938 107.155558) (xy 135.222741 107.287864) (xy 135.302302 107.406936) - (xy 135.388866 107.4935) (xy 135.332302 107.550064) (xy 135.252741 107.669136) (xy 135.197938 107.801442) (xy 135.17 107.941897) - (xy 135.17 108.085103) (xy 135.197938 108.225558) (xy 135.252741 108.357864) (xy 135.332302 108.476936) (xy 135.433564 108.578198) - (xy 135.552636 108.657759) (xy 135.684942 108.712562) (xy 135.825397 108.7405) (xy 135.968603 108.7405) (xy 136.109058 108.712562) - (xy 136.241364 108.657759) (xy 136.360436 108.578198) (xy 136.461698 108.476936) (xy 136.541259 108.357864) (xy 136.596062 108.225558) - (xy 136.624 108.085103) (xy 136.624 107.941897) (xy 136.596062 107.801442) (xy 136.541259 107.669136) (xy 136.461698 107.550064) - (xy 136.375134 107.4635) (xy 136.431698 107.406936) (xy 136.511259 107.287864) (xy 136.566062 107.155558) (xy 136.594 107.015103) - (xy 136.594 106.871897) (xy 136.566062 106.731442) (xy 136.511259 106.599136) (xy 136.431698 106.480064) (xy 136.330436 106.378802) - (xy 136.211364 106.299241) (xy 136.079058 106.244438) (xy 135.938603 106.2165) (xy 135.795397 106.2165) (xy 135.654942 106.244438) - (xy 135.522636 106.299241) (xy 135.403564 106.378802) (xy 135.302302 106.480064) (xy 135.222741 106.599136) (xy 135.167938 106.731442) - (xy 135.14 106.871897) (xy 127.071 106.871897) (xy 127.071 106.041897) (xy 150.39 106.041897) (xy 150.39 106.185103) - (xy 150.417938 106.325558) (xy 150.472741 106.457864) (xy 150.552302 106.576936) (xy 150.653564 106.678198) (xy 150.772636 106.757759) - (xy 150.904942 106.812562) (xy 151.045397 106.8405) (xy 151.188603 106.8405) (xy 151.329058 106.812562) (xy 151.461364 106.757759) - (xy 151.580436 106.678198) (xy 151.681698 106.576936) (xy 151.761259 106.457864) (xy 151.816062 106.325558) (xy 151.844 106.185103) - (xy 151.844 106.041897) (xy 151.816062 105.901442) (xy 151.761259 105.769136) (xy 151.681698 105.650064) (xy 151.580436 105.548802) - (xy 151.461364 105.469241) (xy 151.329058 105.414438) (xy 151.188603 105.3865) (xy 151.045397 105.3865) (xy 150.904942 105.414438) - (xy 150.772636 105.469241) (xy 150.653564 105.548802) (xy 150.552302 105.650064) (xy 150.472741 105.769136) (xy 150.417938 105.901442) - (xy 150.39 106.041897) (xy 127.071 106.041897) (xy 127.071 100.49639) (xy 129.749524 100.49639) (xy 129.794175 100.643599) - (xy 129.919359 100.90642) (xy 130.093412 101.139769) (xy 130.309645 101.334678) (xy 130.420035 101.400434) (xy 130.341 101.400434) - (xy 130.257293 101.408678) (xy 130.176804 101.433095) (xy 130.102624 101.472745) (xy 130.037605 101.526105) (xy 129.984245 101.591124) - (xy 129.944595 101.665304) (xy 129.920178 101.745793) (xy 129.911934 101.8295) (xy 129.911934 103.5295) (xy 129.920178 103.613207) - (xy 129.944595 103.693696) (xy 129.984245 103.767876) (xy 130.037605 103.832895) (xy 130.102624 103.886255) (xy 130.176804 103.925905) - (xy 130.257293 103.950322) (xy 130.341 103.958566) (xy 132.041 103.958566) (xy 132.124707 103.950322) (xy 132.205196 103.925905) - (xy 132.279376 103.886255) (xy 132.284686 103.881897) (xy 135.43 103.881897) (xy 135.43 104.025103) (xy 135.457938 104.165558) - (xy 135.512741 104.297864) (xy 135.592302 104.416936) (xy 135.693564 104.518198) (xy 135.812636 104.597759) (xy 135.944942 104.652562) - (xy 136.085397 104.6805) (xy 136.228603 104.6805) (xy 136.369058 104.652562) (xy 136.501364 104.597759) (xy 136.620436 104.518198) - (xy 136.721698 104.416936) (xy 136.801259 104.297864) (xy 136.856062 104.165558) (xy 136.868724 104.101897) (xy 143.42 104.101897) - (xy 143.42 104.245103) (xy 143.447938 104.385558) (xy 143.502741 104.517864) (xy 143.582302 104.636936) (xy 143.683564 104.738198) - (xy 143.802636 104.817759) (xy 143.934942 104.872562) (xy 144.075397 104.9005) (xy 144.218603 104.9005) (xy 144.359058 104.872562) - (xy 144.491364 104.817759) (xy 144.610436 104.738198) (xy 144.711698 104.636936) (xy 144.791259 104.517864) (xy 144.846062 104.385558) - (xy 144.874 104.245103) (xy 144.874 104.101897) (xy 144.846062 103.961442) (xy 144.791259 103.829136) (xy 144.711698 103.710064) - (xy 144.610436 103.608802) (xy 144.491364 103.529241) (xy 144.359058 103.474438) (xy 144.218603 103.4465) (xy 144.075397 103.4465) - (xy 143.934942 103.474438) (xy 143.802636 103.529241) (xy 143.683564 103.608802) (xy 143.582302 103.710064) (xy 143.502741 103.829136) - (xy 143.447938 103.961442) (xy 143.42 104.101897) (xy 136.868724 104.101897) (xy 136.884 104.025103) (xy 136.884 103.881897) - (xy 136.856062 103.741442) (xy 136.801259 103.609136) (xy 136.721698 103.490064) (xy 136.620436 103.388802) (xy 136.501364 103.309241) - (xy 136.369058 103.254438) (xy 136.228603 103.2265) (xy 136.085397 103.2265) (xy 135.944942 103.254438) (xy 135.812636 103.309241) - (xy 135.693564 103.388802) (xy 135.592302 103.490064) (xy 135.512741 103.609136) (xy 135.457938 103.741442) (xy 135.43 103.881897) - (xy 132.284686 103.881897) (xy 132.344395 103.832895) (xy 132.397755 103.767876) (xy 132.437405 103.693696) (xy 132.461822 103.613207) - (xy 132.470066 103.5295) (xy 132.470066 102.631897) (xy 142.06 102.631897) (xy 142.06 102.775103) (xy 142.087938 102.915558) - (xy 142.142741 103.047864) (xy 142.222302 103.166936) (xy 142.323564 103.268198) (xy 142.442636 103.347759) (xy 142.574942 103.402562) - (xy 142.715397 103.4305) (xy 142.858603 103.4305) (xy 142.999058 103.402562) (xy 143.131364 103.347759) (xy 143.250436 103.268198) - (xy 143.351698 103.166936) (xy 143.395141 103.101918) (xy 147.677908 103.101918) (xy 147.677908 103.245124) (xy 147.705846 103.385579) - (xy 147.760649 103.517885) (xy 147.84021 103.636957) (xy 147.941472 103.738219) (xy 148.060544 103.81778) (xy 148.19285 103.872583) - (xy 148.333305 103.900521) (xy 148.476511 103.900521) (xy 148.616966 103.872583) (xy 148.749272 103.81778) (xy 148.868344 103.738219) - (xy 148.969606 103.636957) (xy 149.049167 103.517885) (xy 149.10397 103.385579) (xy 149.131908 103.245124) (xy 149.131908 103.101918) - (xy 149.10397 102.961463) (xy 149.049167 102.829157) (xy 148.969606 102.710085) (xy 148.868344 102.608823) (xy 148.749272 102.529262) - (xy 148.616966 102.474459) (xy 148.476511 102.446521) (xy 148.333305 102.446521) (xy 148.19285 102.474459) (xy 148.060544 102.529262) - (xy 147.941472 102.608823) (xy 147.84021 102.710085) (xy 147.760649 102.829157) (xy 147.705846 102.961463) (xy 147.677908 103.101918) - (xy 143.395141 103.101918) (xy 143.431259 103.047864) (xy 143.486062 102.915558) (xy 143.514 102.775103) (xy 143.514 102.631897) - (xy 143.486062 102.491442) (xy 143.431259 102.359136) (xy 143.351698 102.240064) (xy 143.250436 102.138802) (xy 143.235833 102.129045) - (xy 143.311364 102.097759) (xy 143.430436 102.018198) (xy 143.46 101.988634) (xy 143.46 102.055103) (xy 143.487938 102.195558) - (xy 143.542741 102.327864) (xy 143.622302 102.446936) (xy 143.723564 102.548198) (xy 143.842636 102.627759) (xy 143.974942 102.682562) - (xy 144.115397 102.7105) (xy 144.258603 102.7105) (xy 144.399058 102.682562) (xy 144.531364 102.627759) (xy 144.650436 102.548198) - (xy 144.751698 102.446936) (xy 144.831259 102.327864) (xy 144.886062 102.195558) (xy 144.914 102.055103) (xy 144.914 101.911897) - (xy 144.886062 101.771442) (xy 144.831259 101.639136) (xy 144.751698 101.520064) (xy 144.650436 101.418802) (xy 144.567738 101.363545) - (xy 148.241771 101.363545) (xy 148.241771 101.506751) (xy 148.269709 101.647206) (xy 148.324512 101.779512) (xy 148.404073 101.898584) - (xy 148.505335 101.999846) (xy 148.624407 102.079407) (xy 148.756713 102.13421) (xy 148.897168 102.162148) (xy 149.040374 102.162148) - (xy 149.180829 102.13421) (xy 149.313135 102.079407) (xy 149.432207 101.999846) (xy 149.533469 101.898584) (xy 149.61303 101.779512) - (xy 149.667833 101.647206) (xy 149.695771 101.506751) (xy 149.695771 101.363545) (xy 149.667833 101.22309) (xy 149.628028 101.126991) - (xy 150.114366 101.126991) (xy 150.114366 101.270197) (xy 150.142304 101.410652) (xy 150.197107 101.542958) (xy 150.276668 101.66203) - (xy 150.37793 101.763292) (xy 150.497002 101.842853) (xy 150.629308 101.897656) (xy 150.769763 101.925594) (xy 150.912969 101.925594) - (xy 151.053424 101.897656) (xy 151.18573 101.842853) (xy 151.304802 101.763292) (xy 151.406064 101.66203) (xy 151.485625 101.542958) - (xy 151.540428 101.410652) (xy 151.552116 101.351887) (xy 151.73 101.351887) (xy 151.73 101.495093) (xy 151.757938 101.635548) - (xy 151.812741 101.767854) (xy 151.892302 101.886926) (xy 151.993564 101.988188) (xy 152.112636 102.067749) (xy 152.244942 102.122552) - (xy 152.385397 102.15049) (xy 152.528603 102.15049) (xy 152.669058 102.122552) (xy 152.789846 102.07252) (xy 152.722302 102.140064) - (xy 152.642741 102.259136) (xy 152.587938 102.391442) (xy 152.56 102.531897) (xy 152.56 102.675103) (xy 152.587938 102.815558) - (xy 152.642741 102.947864) (xy 152.722302 103.066936) (xy 152.760332 103.104966) (xy 152.66369 103.201608) (xy 152.584129 103.32068) - (xy 152.529326 103.452986) (xy 152.501388 103.593441) (xy 152.501388 103.736647) (xy 152.529326 103.877102) (xy 152.584129 104.009408) - (xy 152.66369 104.12848) (xy 152.764952 104.229742) (xy 152.884024 104.309303) (xy 153.01633 104.364106) (xy 153.156785 104.392044) - (xy 153.299991 104.392044) (xy 153.440446 104.364106) (xy 153.572752 104.309303) (xy 153.691824 104.229742) (xy 153.793086 104.12848) - (xy 153.872647 104.009408) (xy 153.92745 103.877102) (xy 153.955388 103.736647) (xy 153.955388 103.593441) (xy 153.92745 103.452986) - (xy 153.872647 103.32068) (xy 153.793086 103.201608) (xy 153.755056 103.163578) (xy 153.851698 103.066936) (xy 153.931259 102.947864) - (xy 153.986062 102.815558) (xy 154.014 102.675103) (xy 154.014 102.531897) (xy 153.986062 102.391442) (xy 153.931259 102.259136) - (xy 153.858664 102.15049) (xy 153.878823 102.15049) (xy 154.019278 102.122552) (xy 154.151584 102.067749) (xy 154.207227 102.03057) - (xy 154.262869 102.067749) (xy 154.395175 102.122552) (xy 154.53563 102.15049) (xy 154.678836 102.15049) (xy 154.819291 102.122552) - (xy 154.951597 102.067749) (xy 155.070669 101.988188) (xy 155.171931 101.886926) (xy 155.251492 101.767854) (xy 155.306295 101.635548) - (xy 155.334233 101.495093) (xy 155.334233 101.351887) (xy 155.306295 101.211432) (xy 155.251492 101.079126) (xy 155.171931 100.960054) - (xy 155.070669 100.858792) (xy 154.951597 100.779231) (xy 154.819291 100.724428) (xy 154.678836 100.69649) (xy 154.53563 100.69649) - (xy 154.395175 100.724428) (xy 154.262869 100.779231) (xy 154.207226 100.81641) (xy 154.151584 100.779231) (xy 154.019278 100.724428) - (xy 153.878823 100.69649) (xy 153.735617 100.69649) (xy 153.595162 100.724428) (xy 153.462856 100.779231) (xy 153.343784 100.858792) - (xy 153.242522 100.960054) (xy 153.162961 101.079126) (xy 153.13211 101.153607) (xy 153.101259 101.079126) (xy 153.021698 100.960054) - (xy 152.920436 100.858792) (xy 152.801364 100.779231) (xy 152.669058 100.724428) (xy 152.528603 100.69649) (xy 152.385397 100.69649) - (xy 152.244942 100.724428) (xy 152.112636 100.779231) (xy 151.993564 100.858792) (xy 151.892302 100.960054) (xy 151.812741 101.079126) - (xy 151.757938 101.211432) (xy 151.73 101.351887) (xy 151.552116 101.351887) (xy 151.568366 101.270197) (xy 151.568366 101.126991) - (xy 151.540428 100.986536) (xy 151.485625 100.85423) (xy 151.406064 100.735158) (xy 151.304802 100.633896) (xy 151.18573 100.554335) - (xy 151.053424 100.499532) (xy 151.037628 100.49639) (xy 155.149524 100.49639) (xy 155.194175 100.643599) (xy 155.319359 100.90642) - (xy 155.493412 101.139769) (xy 155.709645 101.334678) (xy 155.820035 101.400434) (xy 155.741 101.400434) (xy 155.657293 101.408678) - (xy 155.576804 101.433095) (xy 155.502624 101.472745) (xy 155.437605 101.526105) (xy 155.384245 101.591124) (xy 155.344595 101.665304) - (xy 155.320178 101.745793) (xy 155.311934 101.8295) (xy 155.311934 103.5295) (xy 155.320178 103.613207) (xy 155.344595 103.693696) - (xy 155.384245 103.767876) (xy 155.437605 103.832895) (xy 155.502624 103.886255) (xy 155.576804 103.925905) (xy 155.657293 103.950322) - (xy 155.741 103.958566) (xy 157.441 103.958566) (xy 157.524707 103.950322) (xy 157.605196 103.925905) (xy 157.679376 103.886255) - (xy 157.744395 103.832895) (xy 157.797755 103.767876) (xy 157.837405 103.693696) (xy 157.861822 103.613207) (xy 157.870066 103.5295) - (xy 157.870066 101.8295) (xy 157.861822 101.745793) (xy 157.837405 101.665304) (xy 157.797755 101.591124) (xy 157.744395 101.526105) - (xy 157.679376 101.472745) (xy 157.605196 101.433095) (xy 157.524707 101.408678) (xy 157.441 101.400434) (xy 157.361965 101.400434) - (xy 157.472355 101.334678) (xy 157.688588 101.139769) (xy 157.862641 100.90642) (xy 157.987825 100.643599) (xy 158.032476 100.49639) - (xy 157.911155 100.2665) (xy 156.718 100.2665) (xy 156.718 100.2865) (xy 156.464 100.2865) (xy 156.464 100.2665) - (xy 155.270845 100.2665) (xy 155.149524 100.49639) (xy 151.037628 100.49639) (xy 150.912969 100.471594) (xy 150.769763 100.471594) - (xy 150.629308 100.499532) (xy 150.497002 100.554335) (xy 150.37793 100.633896) (xy 150.276668 100.735158) (xy 150.197107 100.85423) - (xy 150.142304 100.986536) (xy 150.114366 101.126991) (xy 149.628028 101.126991) (xy 149.61303 101.090784) (xy 149.533469 100.971712) - (xy 149.432207 100.87045) (xy 149.313135 100.790889) (xy 149.180829 100.736086) (xy 149.040374 100.708148) (xy 148.897168 100.708148) - (xy 148.756713 100.736086) (xy 148.624407 100.790889) (xy 148.505335 100.87045) (xy 148.404073 100.971712) (xy 148.324512 101.090784) - (xy 148.269709 101.22309) (xy 148.241771 101.363545) (xy 144.567738 101.363545) (xy 144.531364 101.339241) (xy 144.399058 101.284438) - (xy 144.258603 101.2565) (xy 144.115397 101.2565) (xy 143.974942 101.284438) (xy 143.842636 101.339241) (xy 143.723564 101.418802) - (xy 143.694 101.448366) (xy 143.694 101.381897) (xy 143.666062 101.241442) (xy 143.611259 101.109136) (xy 143.531698 100.990064) - (xy 143.430436 100.888802) (xy 143.311364 100.809241) (xy 143.179058 100.754438) (xy 143.038603 100.7265) (xy 142.895397 100.7265) - (xy 142.754942 100.754438) (xy 142.622636 100.809241) (xy 142.503564 100.888802) (xy 142.402302 100.990064) (xy 142.322741 101.109136) - (xy 142.267938 101.241442) (xy 142.24 101.381897) (xy 142.24 101.525103) (xy 142.267938 101.665558) (xy 142.322741 101.797864) - (xy 142.402302 101.916936) (xy 142.503564 102.018198) (xy 142.518167 102.027955) (xy 142.442636 102.059241) (xy 142.323564 102.138802) - (xy 142.222302 102.240064) (xy 142.142741 102.359136) (xy 142.087938 102.491442) (xy 142.06 102.631897) (xy 132.470066 102.631897) - (xy 132.470066 101.8295) (xy 132.461822 101.745793) (xy 132.437405 101.665304) (xy 132.397755 101.591124) (xy 132.344395 101.526105) - (xy 132.279376 101.472745) (xy 132.259081 101.461897) (xy 139 101.461897) (xy 139 101.605103) (xy 139.027938 101.745558) - (xy 139.082741 101.877864) (xy 139.162302 101.996936) (xy 139.263564 102.098198) (xy 139.382636 102.177759) (xy 139.514942 102.232562) - (xy 139.655397 102.2605) (xy 139.798603 102.2605) (xy 139.939058 102.232562) (xy 140.071364 102.177759) (xy 140.190436 102.098198) - (xy 140.291698 101.996936) (xy 140.371259 101.877864) (xy 140.426062 101.745558) (xy 140.454 101.605103) (xy 140.454 101.461897) - (xy 140.426062 101.321442) (xy 140.371259 101.189136) (xy 140.291698 101.070064) (xy 140.190436 100.968802) (xy 140.071364 100.889241) - (xy 139.939058 100.834438) (xy 139.798603 100.8065) (xy 139.655397 100.8065) (xy 139.514942 100.834438) (xy 139.382636 100.889241) - (xy 139.263564 100.968802) (xy 139.162302 101.070064) (xy 139.082741 101.189136) (xy 139.027938 101.321442) (xy 139 101.461897) - (xy 132.259081 101.461897) (xy 132.205196 101.433095) (xy 132.124707 101.408678) (xy 132.041 101.400434) (xy 131.961965 101.400434) - (xy 132.072355 101.334678) (xy 132.288588 101.139769) (xy 132.462641 100.90642) (xy 132.587825 100.643599) (xy 132.632476 100.49639) - (xy 132.511155 100.2665) (xy 131.318 100.2665) (xy 131.318 100.2865) (xy 131.064 100.2865) (xy 131.064 100.2665) - (xy 129.870845 100.2665) (xy 129.749524 100.49639) (xy 127.071 100.49639) (xy 127.071 93.316355) (xy 129.692271 93.316355) - (xy 129.692271 93.479259) (xy 129.724053 93.639034) (xy 129.786394 93.789538) (xy 129.876899 93.924988) (xy 129.99209 94.040179) - (xy 130.12754 94.130684) (xy 130.259292 94.185257) (xy 130.199089 94.24546) (xy 130.059337 94.454614) (xy 129.963074 94.687013) - (xy 129.914 94.933726) (xy 129.914 95.185274) (xy 129.963074 95.431987) (xy 130.059337 95.664386) (xy 130.199089 95.87354) - (xy 130.37696 96.051411) (xy 130.586114 96.191163) (xy 130.818513 96.287426) (xy 131.030034 96.3295) (xy 130.818513 96.371574) - (xy 130.586114 96.467837) (xy 130.37696 96.607589) (xy 130.199089 96.78546) (xy 130.059337 96.994614) (xy 129.963074 97.227013) - (xy 129.914 97.473726) (xy 129.914 97.725274) (xy 129.963074 97.971987) (xy 130.059337 98.204386) (xy 130.199089 98.41354) - (xy 130.37696 98.591411) (xy 130.586114 98.731163) (xy 130.657416 98.760697) (xy 130.559748 98.795343) (xy 130.309645 98.944322) - (xy 130.093412 99.139231) (xy 129.919359 99.37258) (xy 129.794175 99.635401) (xy 129.749524 99.78261) (xy 129.870845 100.0125) - (xy 131.064 100.0125) (xy 131.064 99.9925) (xy 131.318 99.9925) (xy 131.318 100.0125) (xy 132.511155 100.0125) - (xy 132.601188 99.841897) (xy 135.43 99.841897) (xy 135.43 99.985103) (xy 135.457938 100.125558) (xy 135.512741 100.257864) - (xy 135.592302 100.376936) (xy 135.693564 100.478198) (xy 135.812636 100.557759) (xy 135.944942 100.612562) (xy 136.085397 100.6405) - (xy 136.228603 100.6405) (xy 136.369058 100.612562) (xy 136.501364 100.557759) (xy 136.620436 100.478198) (xy 136.721698 100.376936) - (xy 136.801259 100.257864) (xy 136.856062 100.125558) (xy 136.884 99.985103) (xy 136.884 99.841897) (xy 136.872208 99.78261) - (xy 155.149524 99.78261) (xy 155.270845 100.0125) (xy 156.464 100.0125) (xy 156.464 99.9925) (xy 156.718 99.9925) - (xy 156.718 100.0125) (xy 157.911155 100.0125) (xy 158.032476 99.78261) (xy 157.987825 99.635401) (xy 157.862641 99.37258) - (xy 157.688588 99.139231) (xy 157.472355 98.944322) (xy 157.222252 98.795343) (xy 157.124584 98.760697) (xy 157.195886 98.731163) - (xy 157.40504 98.591411) (xy 157.582911 98.41354) (xy 157.722663 98.204386) (xy 157.818926 97.971987) (xy 157.868 97.725274) - (xy 157.868 97.473726) (xy 157.818926 97.227013) (xy 157.722663 96.994614) (xy 157.582911 96.78546) (xy 157.40504 96.607589) - (xy 157.195886 96.467837) (xy 156.963487 96.371574) (xy 156.751966 96.3295) (xy 156.963487 96.287426) (xy 157.195886 96.191163) - (xy 157.40504 96.051411) (xy 157.582911 95.87354) (xy 157.722663 95.664386) (xy 157.818926 95.431987) (xy 157.868 95.185274) - (xy 157.868 94.933726) (xy 157.818926 94.687013) (xy 157.722663 94.454614) (xy 157.582911 94.24546) (xy 157.40504 94.067589) - (xy 157.195886 93.927837) (xy 156.963487 93.831574) (xy 156.716774 93.7825) (xy 156.465226 93.7825) (xy 156.218513 93.831574) - (xy 155.986114 93.927837) (xy 155.77696 94.067589) (xy 155.599089 94.24546) (xy 155.459337 94.454614) (xy 155.363074 94.687013) - (xy 155.314 94.933726) (xy 155.314 95.185274) (xy 155.363074 95.431987) (xy 155.459337 95.664386) (xy 155.599089 95.87354) - (xy 155.77696 96.051411) (xy 155.986114 96.191163) (xy 156.218513 96.287426) (xy 156.430034 96.3295) (xy 156.218513 96.371574) - (xy 155.986114 96.467837) (xy 155.77696 96.607589) (xy 155.599089 96.78546) (xy 155.459337 96.994614) (xy 155.363074 97.227013) - (xy 155.314 97.473726) (xy 155.314 97.725274) (xy 155.363074 97.971987) (xy 155.459337 98.204386) (xy 155.599089 98.41354) - (xy 155.77696 98.591411) (xy 155.986114 98.731163) (xy 156.057416 98.760697) (xy 155.959748 98.795343) (xy 155.709645 98.944322) - (xy 155.493412 99.139231) (xy 155.319359 99.37258) (xy 155.194175 99.635401) (xy 155.149524 99.78261) (xy 136.872208 99.78261) - (xy 136.856062 99.701442) (xy 136.801259 99.569136) (xy 136.721698 99.450064) (xy 136.620436 99.348802) (xy 136.501364 99.269241) - (xy 136.369058 99.214438) (xy 136.228603 99.1865) (xy 136.085397 99.1865) (xy 135.944942 99.214438) (xy 135.812636 99.269241) - (xy 135.693564 99.348802) (xy 135.592302 99.450064) (xy 135.512741 99.569136) (xy 135.457938 99.701442) (xy 135.43 99.841897) - (xy 132.601188 99.841897) (xy 132.632476 99.78261) (xy 132.587825 99.635401) (xy 132.462641 99.37258) (xy 132.288588 99.139231) - (xy 132.072355 98.944322) (xy 131.822252 98.795343) (xy 131.724584 98.760697) (xy 131.795886 98.731163) (xy 132.00504 98.591411) - (xy 132.182911 98.41354) (xy 132.322663 98.204386) (xy 132.33612 98.171897) (xy 135.22 98.171897) (xy 135.22 98.315103) - (xy 135.247938 98.455558) (xy 135.302741 98.587864) (xy 135.382302 98.706936) (xy 135.483564 98.808198) (xy 135.602636 98.887759) - (xy 135.734942 98.942562) (xy 135.875397 98.9705) (xy 136.018603 98.9705) (xy 136.159058 98.942562) (xy 136.291364 98.887759) - (xy 136.410436 98.808198) (xy 136.511698 98.706936) (xy 136.591259 98.587864) (xy 136.646062 98.455558) (xy 136.674 98.315103) - (xy 136.674 98.171897) (xy 136.660077 98.101897) (xy 138.164002 98.101897) (xy 138.164002 98.245103) (xy 138.19194 98.385558) - (xy 138.246743 98.517864) (xy 138.326304 98.636936) (xy 138.427566 98.738198) (xy 138.546638 98.817759) (xy 138.678944 98.872562) - (xy 138.819399 98.9005) (xy 138.962605 98.9005) (xy 139.10306 98.872562) (xy 139.235366 98.817759) (xy 139.354438 98.738198) - (xy 139.4557 98.636936) (xy 139.535261 98.517864) (xy 139.590064 98.385558) (xy 139.618002 98.245103) (xy 139.618002 98.101897) - (xy 139.594041 97.981434) (xy 141.120994 97.981434) (xy 141.120994 98.12464) (xy 141.148932 98.265095) (xy 141.203735 98.397401) - (xy 141.283296 98.516473) (xy 141.384558 98.617735) (xy 141.50363 98.697296) (xy 141.635936 98.752099) (xy 141.776391 98.780037) - (xy 141.919597 98.780037) (xy 142.060052 98.752099) (xy 142.192358 98.697296) (xy 142.31143 98.617735) (xy 142.412692 98.516473) - (xy 142.492253 98.397401) (xy 142.547056 98.265095) (xy 142.569572 98.151897) (xy 145.27 98.151897) (xy 145.27 98.295103) - (xy 145.297938 98.435558) (xy 145.352741 98.567864) (xy 145.432302 98.686936) (xy 145.533564 98.788198) (xy 145.652636 98.867759) - (xy 145.784942 98.922562) (xy 145.925397 98.9505) (xy 146.068603 98.9505) (xy 146.209058 98.922562) (xy 146.234805 98.911897) - (xy 153.21 98.911897) (xy 153.21 99.055103) (xy 153.237938 99.195558) (xy 153.292741 99.327864) (xy 153.372302 99.446936) - (xy 153.473564 99.548198) (xy 153.592636 99.627759) (xy 153.724942 99.682562) (xy 153.865397 99.7105) (xy 154.008603 99.7105) - (xy 154.149058 99.682562) (xy 154.281364 99.627759) (xy 154.400436 99.548198) (xy 154.501698 99.446936) (xy 154.581259 99.327864) - (xy 154.636062 99.195558) (xy 154.664 99.055103) (xy 154.664 98.911897) (xy 154.636062 98.771442) (xy 154.581259 98.639136) - (xy 154.501698 98.520064) (xy 154.400436 98.418802) (xy 154.281364 98.339241) (xy 154.149058 98.284438) (xy 154.008603 98.2565) - (xy 153.865397 98.2565) (xy 153.724942 98.284438) (xy 153.592636 98.339241) (xy 153.473564 98.418802) (xy 153.372302 98.520064) - (xy 153.292741 98.639136) (xy 153.237938 98.771442) (xy 153.21 98.911897) (xy 146.234805 98.911897) (xy 146.341364 98.867759) - (xy 146.460436 98.788198) (xy 146.561698 98.686936) (xy 146.641259 98.567864) (xy 146.696062 98.435558) (xy 146.724 98.295103) - (xy 146.724 98.151897) (xy 146.696062 98.011442) (xy 146.641259 97.879136) (xy 146.561698 97.760064) (xy 146.460436 97.658802) - (xy 146.341364 97.579241) (xy 146.209058 97.524438) (xy 146.068603 97.4965) (xy 145.925397 97.4965) (xy 145.784942 97.524438) - (xy 145.652636 97.579241) (xy 145.533564 97.658802) (xy 145.432302 97.760064) (xy 145.352741 97.879136) (xy 145.297938 98.011442) - (xy 145.27 98.151897) (xy 142.569572 98.151897) (xy 142.574994 98.12464) (xy 142.574994 97.981434) (xy 142.547056 97.840979) - (xy 142.492253 97.708673) (xy 142.471395 97.677457) (xy 142.531259 97.587864) (xy 142.586062 97.455558) (xy 142.614 97.315103) - (xy 142.614 97.171897) (xy 142.586062 97.031442) (xy 142.531259 96.899136) (xy 142.451698 96.780064) (xy 142.350436 96.678802) - (xy 142.236823 96.602888) (xy 147.212443 96.602888) (xy 147.212443 96.746094) (xy 147.240381 96.886549) (xy 147.295184 97.018855) - (xy 147.374745 97.137927) (xy 147.476007 97.239189) (xy 147.595079 97.31875) (xy 147.727385 97.373553) (xy 147.86784 97.401491) - (xy 148.011046 97.401491) (xy 148.151501 97.373553) (xy 148.283807 97.31875) (xy 148.402879 97.239189) (xy 148.504141 97.137927) - (xy 148.583702 97.018855) (xy 148.623195 96.92351) (xy 148.692302 97.026936) (xy 148.793564 97.128198) (xy 148.864572 97.175644) - (xy 148.818603 97.1665) (xy 148.675397 97.1665) (xy 148.534942 97.194438) (xy 148.402636 97.249241) (xy 148.283564 97.328802) - (xy 148.182302 97.430064) (xy 148.102741 97.549136) (xy 148.047938 97.681442) (xy 148.02 97.821897) (xy 148.02 97.965103) - (xy 148.047938 98.105558) (xy 148.102741 98.237864) (xy 148.182302 98.356936) (xy 148.283564 98.458198) (xy 148.402636 98.537759) - (xy 148.534942 98.592562) (xy 148.675397 98.6205) (xy 148.818603 98.6205) (xy 148.959058 98.592562) (xy 149.091364 98.537759) - (xy 149.210436 98.458198) (xy 149.311698 98.356936) (xy 149.391259 98.237864) (xy 149.446062 98.105558) (xy 149.474 97.965103) - (xy 149.474 97.821897) (xy 149.446062 97.681442) (xy 149.391259 97.549136) (xy 149.311698 97.430064) (xy 149.210436 97.328802) - (xy 149.139428 97.281356) (xy 149.185397 97.2905) (xy 149.328603 97.2905) (xy 149.469058 97.262562) (xy 149.601364 97.207759) - (xy 149.640069 97.181897) (xy 150.29 97.181897) (xy 150.29 97.325103) (xy 150.317938 97.465558) (xy 150.372741 97.597864) - (xy 150.452302 97.716936) (xy 150.553564 97.818198) (xy 150.672636 97.897759) (xy 150.804942 97.952562) (xy 150.945397 97.9805) - (xy 151.088603 97.9805) (xy 151.229058 97.952562) (xy 151.361364 97.897759) (xy 151.480436 97.818198) (xy 151.581698 97.716936) - (xy 151.661259 97.597864) (xy 151.716062 97.465558) (xy 151.744 97.325103) (xy 151.744 97.203048) (xy 151.749507 97.203048) - (xy 151.889962 97.17511) (xy 152.022268 97.120307) (xy 152.14134 97.040746) (xy 152.242602 96.939484) (xy 152.322163 96.820412) - (xy 152.376966 96.688106) (xy 152.404904 96.547651) (xy 152.404904 96.462181) (xy 153.398231 96.462181) (xy 153.398231 96.605387) - (xy 153.426169 96.745842) (xy 153.480972 96.878148) (xy 153.560533 96.99722) (xy 153.644579 97.081266) (xy 153.627938 97.121442) - (xy 153.6 97.261897) (xy 153.6 97.405103) (xy 153.627938 97.545558) (xy 153.682741 97.677864) (xy 153.762302 97.796936) - (xy 153.863564 97.898198) (xy 153.982636 97.977759) (xy 154.114942 98.032562) (xy 154.255397 98.0605) (xy 154.398603 98.0605) - (xy 154.539058 98.032562) (xy 154.671364 97.977759) (xy 154.790436 97.898198) (xy 154.891698 97.796936) (xy 154.971259 97.677864) - (xy 155.026062 97.545558) (xy 155.054 97.405103) (xy 155.054 97.261897) (xy 155.026062 97.121442) (xy 154.971259 96.989136) - (xy 154.891698 96.870064) (xy 154.807652 96.786018) (xy 154.824293 96.745842) (xy 154.852231 96.605387) (xy 154.852231 96.462181) - (xy 154.824293 96.321726) (xy 154.76949 96.18942) (xy 154.689929 96.070348) (xy 154.588667 95.969086) (xy 154.469595 95.889525) - (xy 154.337289 95.834722) (xy 154.196834 95.806784) (xy 154.053628 95.806784) (xy 153.913173 95.834722) (xy 153.780867 95.889525) - (xy 153.661795 95.969086) (xy 153.560533 96.070348) (xy 153.480972 96.18942) (xy 153.426169 96.321726) (xy 153.398231 96.462181) - (xy 152.404904 96.462181) (xy 152.404904 96.404445) (xy 152.376966 96.26399) (xy 152.322163 96.131684) (xy 152.242602 96.012612) - (xy 152.14134 95.91135) (xy 152.022268 95.831789) (xy 151.889962 95.776986) (xy 151.749507 95.749048) (xy 151.606301 95.749048) - (xy 151.465846 95.776986) (xy 151.33354 95.831789) (xy 151.214468 95.91135) (xy 151.113206 96.012612) (xy 151.033645 96.131684) - (xy 150.978842 96.26399) (xy 150.950904 96.404445) (xy 150.950904 96.5265) (xy 150.945397 96.5265) (xy 150.804942 96.554438) - (xy 150.672636 96.609241) (xy 150.553564 96.688802) (xy 150.452302 96.790064) (xy 150.372741 96.909136) (xy 150.317938 97.041442) - (xy 150.29 97.181897) (xy 149.640069 97.181897) (xy 149.720436 97.128198) (xy 149.821698 97.026936) (xy 149.901259 96.907864) - (xy 149.956062 96.775558) (xy 149.984 96.635103) (xy 149.984 96.491897) (xy 149.956062 96.351442) (xy 149.901259 96.219136) - (xy 149.821698 96.100064) (xy 149.720436 95.998802) (xy 149.601364 95.919241) (xy 149.469058 95.864438) (xy 149.328603 95.8365) - (xy 149.185397 95.8365) (xy 149.044942 95.864438) (xy 148.912636 95.919241) (xy 148.793564 95.998802) (xy 148.692302 96.100064) - (xy 148.612741 96.219136) (xy 148.573248 96.314481) (xy 148.504141 96.211055) (xy 148.402879 96.109793) (xy 148.283807 96.030232) - (xy 148.151501 95.975429) (xy 148.011046 95.947491) (xy 147.86784 95.947491) (xy 147.727385 95.975429) (xy 147.595079 96.030232) - (xy 147.476007 96.109793) (xy 147.374745 96.211055) (xy 147.295184 96.330127) (xy 147.240381 96.462433) (xy 147.212443 96.602888) - (xy 142.236823 96.602888) (xy 142.231364 96.599241) (xy 142.099058 96.544438) (xy 141.958603 96.5165) (xy 141.815397 96.5165) - (xy 141.674942 96.544438) (xy 141.542636 96.599241) (xy 141.423564 96.678802) (xy 141.322302 96.780064) (xy 141.242741 96.899136) - (xy 141.187938 97.031442) (xy 141.16 97.171897) (xy 141.16 97.315103) (xy 141.187938 97.455558) (xy 141.242741 97.587864) - (xy 141.263599 97.61908) (xy 141.203735 97.708673) (xy 141.148932 97.840979) (xy 141.120994 97.981434) (xy 139.594041 97.981434) - (xy 139.590064 97.961442) (xy 139.535261 97.829136) (xy 139.4557 97.710064) (xy 139.354438 97.608802) (xy 139.235366 97.529241) - (xy 139.10306 97.474438) (xy 138.962605 97.4465) (xy 138.819399 97.4465) (xy 138.678944 97.474438) (xy 138.546638 97.529241) - (xy 138.427566 97.608802) (xy 138.326304 97.710064) (xy 138.246743 97.829136) (xy 138.19194 97.961442) (xy 138.164002 98.101897) - (xy 136.660077 98.101897) (xy 136.646062 98.031442) (xy 136.591259 97.899136) (xy 136.511698 97.780064) (xy 136.410436 97.678802) - (xy 136.291364 97.599241) (xy 136.159058 97.544438) (xy 136.018603 97.5165) (xy 135.875397 97.5165) (xy 135.734942 97.544438) - (xy 135.602636 97.599241) (xy 135.483564 97.678802) (xy 135.382302 97.780064) (xy 135.302741 97.899136) (xy 135.247938 98.031442) - (xy 135.22 98.171897) (xy 132.33612 98.171897) (xy 132.418926 97.971987) (xy 132.468 97.725274) (xy 132.468 97.473726) - (xy 132.418926 97.227013) (xy 132.322663 96.994614) (xy 132.182911 96.78546) (xy 132.00504 96.607589) (xy 131.795886 96.467837) - (xy 131.563487 96.371574) (xy 131.351966 96.3295) (xy 131.563487 96.287426) (xy 131.795886 96.191163) (xy 132.00504 96.051411) - (xy 132.182911 95.87354) (xy 132.322663 95.664386) (xy 132.389968 95.501897) (xy 142.1 95.501897) (xy 142.1 95.645103) - (xy 142.127938 95.785558) (xy 142.182741 95.917864) (xy 142.262302 96.036936) (xy 142.363564 96.138198) (xy 142.482636 96.217759) - (xy 142.614942 96.272562) (xy 142.755397 96.3005) (xy 142.898603 96.3005) (xy 143.039058 96.272562) (xy 143.171364 96.217759) - (xy 143.290436 96.138198) (xy 143.391698 96.036936) (xy 143.471259 95.917864) (xy 143.526062 95.785558) (xy 143.554 95.645103) - (xy 143.554 95.501897) (xy 143.526062 95.361442) (xy 143.471259 95.229136) (xy 143.391698 95.110064) (xy 143.290436 95.008802) - (xy 143.171364 94.929241) (xy 143.039058 94.874438) (xy 142.898603 94.8465) (xy 142.755397 94.8465) (xy 142.614942 94.874438) - (xy 142.482636 94.929241) (xy 142.363564 95.008802) (xy 142.262302 95.110064) (xy 142.182741 95.229136) (xy 142.127938 95.361442) - (xy 142.1 95.501897) (xy 132.389968 95.501897) (xy 132.418926 95.431987) (xy 132.463074 95.210038) (xy 132.465397 95.2105) - (xy 132.608603 95.2105) (xy 132.749058 95.182562) (xy 132.881364 95.127759) (xy 133.000436 95.048198) (xy 133.101698 94.946936) - (xy 133.181259 94.827864) (xy 133.236062 94.695558) (xy 133.264 94.555103) (xy 133.264 94.411897) (xy 133.236062 94.271442) - (xy 133.181259 94.139136) (xy 133.101698 94.020064) (xy 133.000436 93.918802) (xy 132.881364 93.839241) (xy 132.749058 93.784438) - (xy 132.608603 93.7565) (xy 132.465397 93.7565) (xy 132.324942 93.784438) (xy 132.192636 93.839241) (xy 132.073564 93.918802) - (xy 131.972302 94.020064) (xy 131.960453 94.037797) (xy 131.795886 93.927837) (xy 131.563487 93.831574) (xy 131.316774 93.7825) - (xy 131.255063 93.7825) (xy 131.296734 93.681897) (xy 133.34 93.681897) (xy 133.34 93.825103) (xy 133.367938 93.965558) - (xy 133.422741 94.097864) (xy 133.502302 94.216936) (xy 133.603564 94.318198) (xy 133.722636 94.397759) (xy 133.854942 94.452562) - (xy 133.995397 94.4805) (xy 134.138603 94.4805) (xy 134.279058 94.452562) (xy 134.411364 94.397759) (xy 134.530436 94.318198) - (xy 134.631698 94.216936) (xy 134.699003 94.116206) (xy 135.887761 94.116206) (xy 135.887761 94.259412) (xy 135.915699 94.399867) - (xy 135.970502 94.532173) (xy 136.050063 94.651245) (xy 136.151325 94.752507) (xy 136.270397 94.832068) (xy 136.402703 94.886871) - (xy 136.543158 94.914809) (xy 136.686364 94.914809) (xy 136.826819 94.886871) (xy 136.959125 94.832068) (xy 137.078197 94.752507) - (xy 137.179459 94.651245) (xy 137.25902 94.532173) (xy 137.313823 94.399867) (xy 137.341761 94.259412) (xy 137.341761 94.116206) - (xy 137.338918 94.101911) (xy 137.362636 94.117759) (xy 137.494942 94.172562) (xy 137.635397 94.2005) (xy 137.778603 94.2005) - (xy 137.919058 94.172562) (xy 138.051364 94.117759) (xy 138.170436 94.038198) (xy 138.271698 93.936936) (xy 138.351259 93.817864) - (xy 138.406062 93.685558) (xy 138.434 93.545103) (xy 138.434 93.401897) (xy 138.406062 93.261442) (xy 138.384712 93.209897) - (xy 156.87999 93.209897) (xy 156.87999 93.353103) (xy 156.907928 93.493558) (xy 156.962731 93.625864) (xy 157.042292 93.744936) - (xy 157.143554 93.846198) (xy 157.262626 93.925759) (xy 157.394932 93.980562) (xy 157.535387 94.0085) (xy 157.678593 94.0085) - (xy 157.819048 93.980562) (xy 157.951354 93.925759) (xy 158.070426 93.846198) (xy 158.171688 93.744936) (xy 158.251249 93.625864) - (xy 158.306052 93.493558) (xy 158.33399 93.353103) (xy 158.33399 93.209897) (xy 158.306052 93.069442) (xy 158.251249 92.937136) - (xy 158.171688 92.818064) (xy 158.070426 92.716802) (xy 157.951354 92.637241) (xy 157.819048 92.582438) (xy 157.678593 92.5545) - (xy 157.535387 92.5545) (xy 157.394932 92.582438) (xy 157.262626 92.637241) (xy 157.143554 92.716802) (xy 157.042292 92.818064) - (xy 156.962731 92.937136) (xy 156.907928 93.069442) (xy 156.87999 93.209897) (xy 138.384712 93.209897) (xy 138.351259 93.129136) - (xy 138.271698 93.010064) (xy 138.170436 92.908802) (xy 138.051364 92.829241) (xy 137.919058 92.774438) (xy 137.778603 92.7465) - (xy 137.635397 92.7465) (xy 137.494942 92.774438) (xy 137.362636 92.829241) (xy 137.243564 92.908802) (xy 137.142302 93.010064) - (xy 137.062741 93.129136) (xy 137.007938 93.261442) (xy 136.98 93.401897) (xy 136.98 93.545103) (xy 136.982843 93.559398) - (xy 136.959125 93.54355) (xy 136.826819 93.488747) (xy 136.686364 93.460809) (xy 136.543158 93.460809) (xy 136.402703 93.488747) - (xy 136.270397 93.54355) (xy 136.151325 93.623111) (xy 136.050063 93.724373) (xy 135.970502 93.843445) (xy 135.915699 93.975751) - (xy 135.887761 94.116206) (xy 134.699003 94.116206) (xy 134.711259 94.097864) (xy 134.766062 93.965558) (xy 134.794 93.825103) - (xy 134.794 93.681897) (xy 134.766062 93.541442) (xy 134.711259 93.409136) (xy 134.631698 93.290064) (xy 134.530436 93.188802) - (xy 134.411364 93.109241) (xy 134.279058 93.054438) (xy 134.138603 93.0265) (xy 133.995397 93.0265) (xy 133.854942 93.054438) - (xy 133.722636 93.109241) (xy 133.603564 93.188802) (xy 133.502302 93.290064) (xy 133.422741 93.409136) (xy 133.367938 93.541442) - (xy 133.34 93.681897) (xy 131.296734 93.681897) (xy 131.314489 93.639034) (xy 131.346271 93.479259) (xy 131.346271 93.316355) - (xy 131.314489 93.15658) (xy 131.252148 93.006076) (xy 131.161643 92.870626) (xy 131.046452 92.755435) (xy 130.911002 92.66493) - (xy 130.760498 92.602589) (xy 130.600723 92.570807) (xy 130.437819 92.570807) (xy 130.278044 92.602589) (xy 130.12754 92.66493) - (xy 129.99209 92.755435) (xy 129.876899 92.870626) (xy 129.786394 93.006076) (xy 129.724053 93.15658) (xy 129.692271 93.316355) - (xy 127.071 93.316355) (xy 127.071 91.931897) (xy 143.25601 91.931897) (xy 143.25601 92.075103) (xy 143.283948 92.215558) - (xy 143.338751 92.347864) (xy 143.418312 92.466936) (xy 143.519574 92.568198) (xy 143.638646 92.647759) (xy 143.770952 92.702562) - (xy 143.911407 92.7305) (xy 144.054613 92.7305) (xy 144.195068 92.702562) (xy 144.327374 92.647759) (xy 144.446446 92.568198) - (xy 144.547708 92.466936) (xy 144.627269 92.347864) (xy 144.682072 92.215558) (xy 144.71001 92.075103) (xy 144.71001 91.96498) - (xy 145.474886 91.96498) (xy 145.474886 92.108186) (xy 145.502824 92.248641) (xy 145.557627 92.380947) (xy 145.637188 92.500019) - (xy 145.73845 92.601281) (xy 145.857522 92.680842) (xy 145.989828 92.735645) (xy 146.130283 92.763583) (xy 146.273489 92.763583) - (xy 146.413944 92.735645) (xy 146.54625 92.680842) (xy 146.665322 92.601281) (xy 146.766584 92.500019) (xy 146.846145 92.380947) - (xy 146.900948 92.248641) (xy 146.920191 92.151897) (xy 150.81 92.151897) (xy 150.81 92.295103) (xy 150.837938 92.435558) - (xy 150.892741 92.567864) (xy 150.972302 92.686936) (xy 151.073564 92.788198) (xy 151.192636 92.867759) (xy 151.324942 92.922562) - (xy 151.465397 92.9505) (xy 151.608603 92.9505) (xy 151.749058 92.922562) (xy 151.881364 92.867759) (xy 152.000436 92.788198) - (xy 152.101698 92.686936) (xy 152.181259 92.567864) (xy 152.236062 92.435558) (xy 152.264 92.295103) (xy 152.264 92.151897) - (xy 152.236062 92.011442) (xy 152.181259 91.879136) (xy 152.101698 91.760064) (xy 152.000436 91.658802) (xy 151.881364 91.579241) - (xy 151.749058 91.524438) (xy 151.608603 91.4965) (xy 151.465397 91.4965) (xy 151.324942 91.524438) (xy 151.192636 91.579241) - (xy 151.073564 91.658802) (xy 150.972302 91.760064) (xy 150.892741 91.879136) (xy 150.837938 92.011442) (xy 150.81 92.151897) - (xy 146.920191 92.151897) (xy 146.928886 92.108186) (xy 146.928886 91.96498) (xy 146.900948 91.824525) (xy 146.846145 91.692219) - (xy 146.766584 91.573147) (xy 146.665322 91.471885) (xy 146.54625 91.392324) (xy 146.413944 91.337521) (xy 146.273489 91.309583) - (xy 146.130283 91.309583) (xy 145.989828 91.337521) (xy 145.857522 91.392324) (xy 145.73845 91.471885) (xy 145.637188 91.573147) - (xy 145.557627 91.692219) (xy 145.502824 91.824525) (xy 145.474886 91.96498) (xy 144.71001 91.96498) (xy 144.71001 91.931897) - (xy 144.682072 91.791442) (xy 144.627269 91.659136) (xy 144.547708 91.540064) (xy 144.446446 91.438802) (xy 144.327374 91.359241) - (xy 144.195068 91.304438) (xy 144.054613 91.2765) (xy 143.911407 91.2765) (xy 143.770952 91.304438) (xy 143.638646 91.359241) - (xy 143.519574 91.438802) (xy 143.418312 91.540064) (xy 143.338751 91.659136) (xy 143.283948 91.791442) (xy 143.25601 91.931897) - (xy 127.071 91.931897) (xy 127.071 91.101897) (xy 157.86 91.101897) (xy 157.86 91.245103) (xy 157.887938 91.385558) - (xy 157.942741 91.517864) (xy 158.022302 91.636936) (xy 158.123564 91.738198) (xy 158.242636 91.817759) (xy 158.374942 91.872562) - (xy 158.515397 91.9005) (xy 158.658603 91.9005) (xy 158.799058 91.872562) (xy 158.931364 91.817759) (xy 159.050436 91.738198) - (xy 159.151698 91.636936) (xy 159.231259 91.517864) (xy 159.286062 91.385558) (xy 159.314 91.245103) (xy 159.314 91.101897) - (xy 159.286062 90.961442) (xy 159.231259 90.829136) (xy 159.151698 90.710064) (xy 159.050436 90.608802) (xy 158.931364 90.529241) - (xy 158.799058 90.474438) (xy 158.658603 90.4465) (xy 158.515397 90.4465) (xy 158.374942 90.474438) (xy 158.242636 90.529241) - (xy 158.123564 90.608802) (xy 158.022302 90.710064) (xy 157.942741 90.829136) (xy 157.887938 90.961442) (xy 157.86 91.101897) - (xy 127.071 91.101897) (xy 127.071 89.009048) (xy 130.364 89.009048) (xy 130.364 89.171952) (xy 130.395782 89.331727) - (xy 130.458123 89.482231) (xy 130.548628 89.617681) (xy 130.663819 89.732872) (xy 130.799269 89.823377) (xy 130.949773 89.885718) - (xy 131.109548 89.9175) (xy 131.272452 89.9175) (xy 131.432227 89.885718) (xy 131.582731 89.823377) (xy 131.718181 89.732872) - (xy 131.833372 89.617681) (xy 131.923877 89.482231) (xy 131.986218 89.331727) (xy 131.992151 89.301897) (xy 135.41 89.301897) - (xy 135.41 89.445103) (xy 135.437938 89.585558) (xy 135.492741 89.717864) (xy 135.572302 89.836936) (xy 135.673564 89.938198) - (xy 135.792636 90.017759) (xy 135.924942 90.072562) (xy 136.065397 90.1005) (xy 136.208603 90.1005) (xy 136.349058 90.072562) - (xy 136.481364 90.017759) (xy 136.600436 89.938198) (xy 136.701698 89.836936) (xy 136.781259 89.717864) (xy 136.836062 89.585558) - (xy 136.864 89.445103) (xy 136.864 89.301897) (xy 136.836062 89.161442) (xy 136.781259 89.029136) (xy 136.701698 88.910064) - (xy 136.600436 88.808802) (xy 136.481364 88.729241) (xy 136.349058 88.674438) (xy 136.208603 88.6465) (xy 136.065397 88.6465) - (xy 135.924942 88.674438) (xy 135.792636 88.729241) (xy 135.673564 88.808802) (xy 135.572302 88.910064) (xy 135.492741 89.029136) - (xy 135.437938 89.161442) (xy 135.41 89.301897) (xy 131.992151 89.301897) (xy 132.018 89.171952) (xy 132.018 89.009048) - (xy 131.986218 88.849273) (xy 131.923877 88.698769) (xy 131.833372 88.563319) (xy 131.718181 88.448128) (xy 131.582731 88.357623) - (xy 131.432227 88.295282) (xy 131.272452 88.2635) (xy 131.109548 88.2635) (xy 130.949773 88.295282) (xy 130.799269 88.357623) - (xy 130.663819 88.448128) (xy 130.548628 88.563319) (xy 130.458123 88.698769) (xy 130.395782 88.849273) (xy 130.364 89.009048) - (xy 127.071 89.009048) (xy 127.071 87.375241) (xy 132.338113 87.375241) (xy 132.338113 87.518447) (xy 132.366051 87.658902) - (xy 132.420854 87.791208) (xy 132.500415 87.91028) (xy 132.601677 88.011542) (xy 132.720749 88.091103) (xy 132.853055 88.145906) - (xy 132.99351 88.173844) (xy 133.136716 88.173844) (xy 133.277171 88.145906) (xy 133.409477 88.091103) (xy 133.528549 88.011542) - (xy 133.629811 87.91028) (xy 133.709372 87.791208) (xy 133.746365 87.701897) (xy 138.62 87.701897) (xy 138.62 87.845103) - (xy 138.647938 87.985558) (xy 138.702741 88.117864) (xy 138.782302 88.236936) (xy 138.883564 88.338198) (xy 139.002636 88.417759) - (xy 139.134942 88.472562) (xy 139.275397 88.5005) (xy 139.418603 88.5005) (xy 139.559058 88.472562) (xy 139.691364 88.417759) - (xy 139.810436 88.338198) (xy 139.911698 88.236936) (xy 139.955341 88.17162) (xy 139.992302 88.226936) (xy 140.093564 88.328198) - (xy 140.212636 88.407759) (xy 140.344942 88.462562) (xy 140.485397 88.4905) (xy 140.628603 88.4905) (xy 140.769058 88.462562) - (xy 140.901364 88.407759) (xy 140.940069 88.381897) (xy 144.13 88.381897) (xy 144.13 88.525103) (xy 144.157938 88.665558) - (xy 144.212741 88.797864) (xy 144.292302 88.916936) (xy 144.393564 89.018198) (xy 144.512636 89.097759) (xy 144.644942 89.152562) - (xy 144.785397 89.1805) (xy 144.928603 89.1805) (xy 145.069058 89.152562) (xy 145.201364 89.097759) (xy 145.320436 89.018198) - (xy 145.421698 88.916936) (xy 145.501259 88.797864) (xy 145.556062 88.665558) (xy 145.584 88.525103) (xy 145.584 88.381897) - (xy 145.556062 88.241442) (xy 145.501259 88.109136) (xy 145.421698 87.990064) (xy 145.320436 87.888802) (xy 145.235287 87.831907) - (xy 146.27 87.831907) (xy 146.27 87.975113) (xy 146.297938 88.115568) (xy 146.352741 88.247874) (xy 146.432302 88.366946) - (xy 146.533564 88.468208) (xy 146.652636 88.547769) (xy 146.784942 88.602572) (xy 146.925397 88.63051) (xy 147.068603 88.63051) - (xy 147.209058 88.602572) (xy 147.258971 88.581897) (xy 148.07 88.581897) (xy 148.07 88.725103) (xy 148.097938 88.865558) - (xy 148.152741 88.997864) (xy 148.232302 89.116936) (xy 148.333564 89.218198) (xy 148.452636 89.297759) (xy 148.584942 89.352562) - (xy 148.725397 89.3805) (xy 148.868603 89.3805) (xy 149.009058 89.352562) (xy 149.141364 89.297759) (xy 149.260436 89.218198) - (xy 149.361698 89.116936) (xy 149.365064 89.111897) (xy 158.01 89.111897) (xy 158.01 89.255103) (xy 158.037938 89.395558) - (xy 158.092741 89.527864) (xy 158.172302 89.646936) (xy 158.273564 89.748198) (xy 158.392636 89.827759) (xy 158.524942 89.882562) - (xy 158.665397 89.9105) (xy 158.808603 89.9105) (xy 158.949058 89.882562) (xy 159.081364 89.827759) (xy 159.200436 89.748198) - (xy 159.301698 89.646936) (xy 159.381259 89.527864) (xy 159.436062 89.395558) (xy 159.464 89.255103) (xy 159.464 89.111897) - (xy 159.436062 88.971442) (xy 159.381259 88.839136) (xy 159.301698 88.720064) (xy 159.200436 88.618802) (xy 159.081364 88.539241) - (xy 158.949058 88.484438) (xy 158.808603 88.4565) (xy 158.665397 88.4565) (xy 158.524942 88.484438) (xy 158.392636 88.539241) - (xy 158.273564 88.618802) (xy 158.172302 88.720064) (xy 158.092741 88.839136) (xy 158.037938 88.971442) (xy 158.01 89.111897) - (xy 149.365064 89.111897) (xy 149.441259 88.997864) (xy 149.496062 88.865558) (xy 149.524 88.725103) (xy 149.524 88.581897) - (xy 149.496062 88.441442) (xy 149.441259 88.309136) (xy 149.361698 88.190064) (xy 149.260436 88.088802) (xy 149.141364 88.009241) - (xy 149.009058 87.954438) (xy 148.868603 87.9265) (xy 148.725397 87.9265) (xy 148.584942 87.954438) (xy 148.452636 88.009241) - (xy 148.333564 88.088802) (xy 148.232302 88.190064) (xy 148.152741 88.309136) (xy 148.097938 88.441442) (xy 148.07 88.581897) - (xy 147.258971 88.581897) (xy 147.341364 88.547769) (xy 147.460436 88.468208) (xy 147.561698 88.366946) (xy 147.641259 88.247874) - (xy 147.696062 88.115568) (xy 147.724 87.975113) (xy 147.724 87.831907) (xy 147.696062 87.691452) (xy 147.641259 87.559146) - (xy 147.561698 87.440074) (xy 147.483521 87.361897) (xy 149.41 87.361897) (xy 149.41 87.505103) (xy 149.437938 87.645558) - (xy 149.492741 87.777864) (xy 149.572302 87.896936) (xy 149.673564 87.998198) (xy 149.792636 88.077759) (xy 149.924942 88.132562) - (xy 150.065397 88.1605) (xy 150.208603 88.1605) (xy 150.349058 88.132562) (xy 150.481364 88.077759) (xy 150.600436 87.998198) - (xy 150.701698 87.896936) (xy 150.765773 87.801041) (xy 150.772741 87.817864) (xy 150.852302 87.936936) (xy 150.953564 88.038198) - (xy 151.072636 88.117759) (xy 151.204942 88.172562) (xy 151.345397 88.2005) (xy 151.488603 88.2005) (xy 151.629058 88.172562) - (xy 151.761364 88.117759) (xy 151.880436 88.038198) (xy 151.981698 87.936936) (xy 152.061259 87.817864) (xy 152.116062 87.685558) - (xy 152.144 87.545103) (xy 152.144 87.401897) (xy 152.116062 87.261442) (xy 152.061259 87.129136) (xy 151.981698 87.010064) - (xy 151.880436 86.908802) (xy 151.761364 86.829241) (xy 151.629058 86.774438) (xy 151.488603 86.7465) (xy 151.345397 86.7465) - (xy 151.204942 86.774438) (xy 151.072636 86.829241) (xy 150.953564 86.908802) (xy 150.852302 87.010064) (xy 150.788227 87.105959) - (xy 150.781259 87.089136) (xy 150.701698 86.970064) (xy 150.600436 86.868802) (xy 150.481364 86.789241) (xy 150.349058 86.734438) - (xy 150.208603 86.7065) (xy 150.065397 86.7065) (xy 149.924942 86.734438) (xy 149.792636 86.789241) (xy 149.673564 86.868802) - (xy 149.572302 86.970064) (xy 149.492741 87.089136) (xy 149.437938 87.221442) (xy 149.41 87.361897) (xy 147.483521 87.361897) - (xy 147.460436 87.338812) (xy 147.341364 87.259251) (xy 147.209058 87.204448) (xy 147.068603 87.17651) (xy 146.925397 87.17651) - (xy 146.784942 87.204448) (xy 146.652636 87.259251) (xy 146.533564 87.338812) (xy 146.432302 87.440074) (xy 146.352741 87.559146) - (xy 146.297938 87.691452) (xy 146.27 87.831907) (xy 145.235287 87.831907) (xy 145.201364 87.809241) (xy 145.069058 87.754438) - (xy 144.928603 87.7265) (xy 144.785397 87.7265) (xy 144.644942 87.754438) (xy 144.512636 87.809241) (xy 144.393564 87.888802) - (xy 144.292302 87.990064) (xy 144.212741 88.109136) (xy 144.157938 88.241442) (xy 144.13 88.381897) (xy 140.940069 88.381897) - (xy 141.020436 88.328198) (xy 141.121698 88.226936) (xy 141.201259 88.107864) (xy 141.256062 87.975558) (xy 141.284 87.835103) - (xy 141.284 87.691897) (xy 141.256062 87.551442) (xy 141.201259 87.419136) (xy 141.121698 87.300064) (xy 141.020436 87.198802) - (xy 140.901364 87.119241) (xy 140.769058 87.064438) (xy 140.628603 87.0365) (xy 140.485397 87.0365) (xy 140.344942 87.064438) - (xy 140.212636 87.119241) (xy 140.093564 87.198802) (xy 139.992302 87.300064) (xy 139.948659 87.36538) (xy 139.911698 87.310064) - (xy 139.810436 87.208802) (xy 139.691364 87.129241) (xy 139.559058 87.074438) (xy 139.418603 87.0465) (xy 139.275397 87.0465) - (xy 139.134942 87.074438) (xy 139.002636 87.129241) (xy 138.883564 87.208802) (xy 138.782302 87.310064) (xy 138.702741 87.429136) - (xy 138.647938 87.561442) (xy 138.62 87.701897) (xy 133.746365 87.701897) (xy 133.764175 87.658902) (xy 133.792113 87.518447) - (xy 133.792113 87.375241) (xy 133.764175 87.234786) (xy 133.709372 87.10248) (xy 133.629811 86.983408) (xy 133.528549 86.882146) - (xy 133.409477 86.802585) (xy 133.277171 86.747782) (xy 133.136716 86.719844) (xy 132.99351 86.719844) (xy 132.853055 86.747782) - (xy 132.720749 86.802585) (xy 132.601677 86.882146) (xy 132.500415 86.983408) (xy 132.420854 87.10248) (xy 132.366051 87.234786) - (xy 132.338113 87.375241) (xy 127.071 87.375241) (xy 127.071 85.861897) (xy 129.85 85.861897) (xy 129.85 86.005103) - (xy 129.877938 86.145558) (xy 129.932741 86.277864) (xy 130.012302 86.396936) (xy 130.113564 86.498198) (xy 130.232636 86.577759) - (xy 130.364942 86.632562) (xy 130.505397 86.6605) (xy 130.648603 86.6605) (xy 130.789058 86.632562) (xy 130.921364 86.577759) - (xy 131.040436 86.498198) (xy 131.141698 86.396936) (xy 131.221259 86.277864) (xy 131.276062 86.145558) (xy 131.304 86.005103) - (xy 131.304 85.861897) (xy 131.276062 85.721442) (xy 131.221259 85.589136) (xy 131.141698 85.470064) (xy 131.040436 85.368802) - (xy 130.921364 85.289241) (xy 130.789058 85.234438) (xy 130.648603 85.2065) (xy 130.505397 85.2065) (xy 130.364942 85.234438) - (xy 130.232636 85.289241) (xy 130.113564 85.368802) (xy 130.012302 85.470064) (xy 129.932741 85.589136) (xy 129.877938 85.721442) - (xy 129.85 85.861897) (xy 127.071 85.861897) (xy 127.071 84.481897) (xy 135.39 84.481897) (xy 135.39 84.625103) - (xy 135.417938 84.765558) (xy 135.472741 84.897864) (xy 135.552302 85.016936) (xy 135.653564 85.118198) (xy 135.772636 85.197759) - (xy 135.904942 85.252562) (xy 136.045397 85.2805) (xy 136.188603 85.2805) (xy 136.329058 85.252562) (xy 136.461364 85.197759) - (xy 136.580436 85.118198) (xy 136.681698 85.016936) (xy 136.761259 84.897864) (xy 136.76373 84.891897) (xy 150.71 84.891897) - (xy 150.71 85.035103) (xy 150.737938 85.175558) (xy 150.792741 85.307864) (xy 150.872302 85.426936) (xy 150.973564 85.528198) - (xy 151.092636 85.607759) (xy 151.224942 85.662562) (xy 151.365397 85.6905) (xy 151.508603 85.6905) (xy 151.649058 85.662562) - (xy 151.781364 85.607759) (xy 151.900436 85.528198) (xy 152.001698 85.426936) (xy 152.081259 85.307864) (xy 152.136062 85.175558) - (xy 152.164 85.035103) (xy 152.164 84.901897) (xy 157.73 84.901897) (xy 157.73 85.045103) (xy 157.757938 85.185558) - (xy 157.812741 85.317864) (xy 157.892302 85.436936) (xy 157.993564 85.538198) (xy 158.112636 85.617759) (xy 158.244942 85.672562) - (xy 158.385397 85.7005) (xy 158.528603 85.7005) (xy 158.669058 85.672562) (xy 158.801364 85.617759) (xy 158.920436 85.538198) - (xy 159.021698 85.436936) (xy 159.101259 85.317864) (xy 159.156062 85.185558) (xy 159.184 85.045103) (xy 159.184 84.901897) - (xy 159.156062 84.761442) (xy 159.101259 84.629136) (xy 159.021698 84.510064) (xy 158.920436 84.408802) (xy 158.801364 84.329241) - (xy 158.669058 84.274438) (xy 158.528603 84.2465) (xy 158.385397 84.2465) (xy 158.244942 84.274438) (xy 158.112636 84.329241) - (xy 157.993564 84.408802) (xy 157.892302 84.510064) (xy 157.812741 84.629136) (xy 157.757938 84.761442) (xy 157.73 84.901897) - (xy 152.164 84.901897) (xy 152.164 84.891897) (xy 152.136062 84.751442) (xy 152.081259 84.619136) (xy 152.001698 84.500064) - (xy 151.900436 84.398802) (xy 151.781364 84.319241) (xy 151.649058 84.264438) (xy 151.508603 84.2365) (xy 151.365397 84.2365) - (xy 151.224942 84.264438) (xy 151.092636 84.319241) (xy 150.973564 84.398802) (xy 150.872302 84.500064) (xy 150.792741 84.619136) - (xy 150.737938 84.751442) (xy 150.71 84.891897) (xy 136.76373 84.891897) (xy 136.816062 84.765558) (xy 136.844 84.625103) - (xy 136.844 84.481897) (xy 136.816062 84.341442) (xy 136.761259 84.209136) (xy 136.681698 84.090064) (xy 136.580436 83.988802) - (xy 136.461364 83.909241) (xy 136.329058 83.854438) (xy 136.188603 83.8265) (xy 136.045397 83.8265) (xy 135.904942 83.854438) - (xy 135.772636 83.909241) (xy 135.653564 83.988802) (xy 135.552302 84.090064) (xy 135.472741 84.209136) (xy 135.417938 84.341442) - (xy 135.39 84.481897) (xy 127.071 84.481897) (xy 127.071 83.081893) (xy 127.36001 83.081893) (xy 127.36001 83.225099) - (xy 127.387948 83.365554) (xy 127.442751 83.49786) (xy 127.522312 83.616932) (xy 127.623574 83.718194) (xy 127.742646 83.797755) - (xy 127.874952 83.852558) (xy 128.015407 83.880496) (xy 128.158613 83.880496) (xy 128.299068 83.852558) (xy 128.431374 83.797755) - (xy 128.550446 83.718194) (xy 128.651708 83.616932) (xy 128.731269 83.49786) (xy 128.786072 83.365554) (xy 128.81401 83.225099) - (xy 128.81401 83.081893) (xy 128.808044 83.051897) (xy 129.649982 83.051897) (xy 129.649982 83.195103) (xy 129.67792 83.335558) - (xy 129.732723 83.467864) (xy 129.812284 83.586936) (xy 129.913546 83.688198) (xy 130.032618 83.767759) (xy 130.164924 83.822562) - (xy 130.305379 83.8505) (xy 130.448585 83.8505) (xy 130.58904 83.822562) (xy 130.721346 83.767759) (xy 130.840418 83.688198) - (xy 130.94168 83.586936) (xy 131.021241 83.467864) (xy 131.076044 83.335558) (xy 131.103982 83.195103) (xy 131.103982 83.051897) - (xy 131.080113 82.931897) (xy 136.52 82.931897) (xy 136.52 83.075103) (xy 136.547938 83.215558) (xy 136.602741 83.347864) - (xy 136.682302 83.466936) (xy 136.783564 83.568198) (xy 136.902636 83.647759) (xy 137.034942 83.702562) (xy 137.175397 83.7305) - (xy 137.318603 83.7305) (xy 137.459058 83.702562) (xy 137.591364 83.647759) (xy 137.615103 83.631897) (xy 140.72 83.631897) - (xy 140.72 83.775103) (xy 140.747938 83.915558) (xy 140.802741 84.047864) (xy 140.882302 84.166936) (xy 140.983564 84.268198) - (xy 141.102636 84.347759) (xy 141.234942 84.402562) (xy 141.375397 84.4305) (xy 141.518603 84.4305) (xy 141.659058 84.402562) - (xy 141.791364 84.347759) (xy 141.910436 84.268198) (xy 142.011698 84.166936) (xy 142.091259 84.047864) (xy 142.146062 83.915558) - (xy 142.174 83.775103) (xy 142.174 83.631897) (xy 142.146062 83.491442) (xy 142.117256 83.421897) (xy 145.05 83.421897) - (xy 145.05 83.565103) (xy 145.077938 83.705558) (xy 145.132741 83.837864) (xy 145.212302 83.956936) (xy 145.313564 84.058198) - (xy 145.432636 84.137759) (xy 145.564942 84.192562) (xy 145.705397 84.2205) (xy 145.848603 84.2205) (xy 145.989058 84.192562) - (xy 146.121364 84.137759) (xy 146.240436 84.058198) (xy 146.341698 83.956936) (xy 146.421259 83.837864) (xy 146.476062 83.705558) - (xy 146.504 83.565103) (xy 146.504 83.421897) (xy 146.476062 83.281442) (xy 146.421259 83.149136) (xy 146.341698 83.030064) - (xy 146.240436 82.928802) (xy 146.16602 82.879079) (xy 146.249058 82.862562) (xy 146.274805 82.851897) (xy 148.11 82.851897) - (xy 148.11 82.995103) (xy 148.137938 83.135558) (xy 148.192741 83.267864) (xy 148.272302 83.386936) (xy 148.373564 83.488198) - (xy 148.492636 83.567759) (xy 148.624942 83.622562) (xy 148.765397 83.6505) (xy 148.908603 83.6505) (xy 149.049058 83.622562) - (xy 149.181364 83.567759) (xy 149.300436 83.488198) (xy 149.401698 83.386936) (xy 149.481259 83.267864) (xy 149.536062 83.135558) - (xy 149.564 82.995103) (xy 149.564 82.991897) (xy 149.99 82.991897) (xy 149.99 83.135103) (xy 150.017938 83.275558) - (xy 150.072741 83.407864) (xy 150.152302 83.526936) (xy 150.253564 83.628198) (xy 150.372636 83.707759) (xy 150.504942 83.762562) - (xy 150.645397 83.7905) (xy 150.788603 83.7905) (xy 150.929058 83.762562) (xy 151.061364 83.707759) (xy 151.180436 83.628198) - (xy 151.281698 83.526936) (xy 151.361259 83.407864) (xy 151.414004 83.280526) (xy 151.424844 83.287769) (xy 151.55715 83.342572) - (xy 151.697605 83.37051) (xy 151.840811 83.37051) (xy 151.981266 83.342572) (xy 152.113572 83.287769) (xy 152.232644 83.208208) - (xy 152.333906 83.106946) (xy 152.413467 82.987874) (xy 152.46827 82.855568) (xy 152.496208 82.715113) (xy 152.496208 82.571907) - (xy 152.46827 82.431452) (xy 152.413467 82.299146) (xy 152.408624 82.291897) (xy 152.76 82.291897) (xy 152.76 82.435103) - (xy 152.787938 82.575558) (xy 152.842741 82.707864) (xy 152.922302 82.826936) (xy 153.023564 82.928198) (xy 153.142636 83.007759) - (xy 153.274942 83.062562) (xy 153.415397 83.0905) (xy 153.558603 83.0905) (xy 153.699058 83.062562) (xy 153.831364 83.007759) - (xy 153.950436 82.928198) (xy 154.051698 82.826936) (xy 154.131259 82.707864) (xy 154.186062 82.575558) (xy 154.214 82.435103) - (xy 154.214 82.291897) (xy 154.186062 82.151442) (xy 154.131259 82.019136) (xy 154.051698 81.900064) (xy 153.950436 81.798802) - (xy 153.831364 81.719241) (xy 153.699058 81.664438) (xy 153.558603 81.6365) (xy 153.415397 81.6365) (xy 153.274942 81.664438) - (xy 153.142636 81.719241) (xy 153.023564 81.798802) (xy 152.922302 81.900064) (xy 152.842741 82.019136) (xy 152.787938 82.151442) - (xy 152.76 82.291897) (xy 152.408624 82.291897) (xy 152.333906 82.180074) (xy 152.232644 82.078812) (xy 152.113572 81.999251) - (xy 151.981266 81.944448) (xy 151.840811 81.91651) (xy 151.697605 81.91651) (xy 151.55715 81.944448) (xy 151.424844 81.999251) - (xy 151.305772 82.078812) (xy 151.20451 82.180074) (xy 151.124949 82.299146) (xy 151.072204 82.426484) (xy 151.061364 82.419241) - (xy 150.929058 82.364438) (xy 150.788603 82.3365) (xy 150.645397 82.3365) (xy 150.504942 82.364438) (xy 150.372636 82.419241) - (xy 150.253564 82.498802) (xy 150.152302 82.600064) (xy 150.072741 82.719136) (xy 150.017938 82.851442) (xy 149.99 82.991897) - (xy 149.564 82.991897) (xy 149.564 82.851897) (xy 149.536062 82.711442) (xy 149.481259 82.579136) (xy 149.427986 82.499408) - (xy 149.481982 82.418597) (xy 149.536785 82.286291) (xy 149.564723 82.145836) (xy 149.564723 82.00263) (xy 149.536785 81.862175) - (xy 149.481982 81.729869) (xy 149.402421 81.610797) (xy 149.301159 81.509535) (xy 149.182087 81.429974) (xy 149.049781 81.375171) - (xy 148.909326 81.347233) (xy 148.76612 81.347233) (xy 148.625665 81.375171) (xy 148.493359 81.429974) (xy 148.374287 81.509535) - (xy 148.273025 81.610797) (xy 148.193464 81.729869) (xy 148.138661 81.862175) (xy 148.110723 82.00263) (xy 148.110723 82.145836) - (xy 148.138661 82.286291) (xy 148.193464 82.418597) (xy 148.246737 82.498325) (xy 148.192741 82.579136) (xy 148.137938 82.711442) - (xy 148.11 82.851897) (xy 146.274805 82.851897) (xy 146.381364 82.807759) (xy 146.500436 82.728198) (xy 146.601698 82.626936) - (xy 146.681259 82.507864) (xy 146.736062 82.375558) (xy 146.764 82.235103) (xy 146.764 82.091897) (xy 146.736062 81.951442) - (xy 146.681259 81.819136) (xy 146.601698 81.700064) (xy 146.500436 81.598802) (xy 146.381364 81.519241) (xy 146.249058 81.464438) - (xy 146.108603 81.4365) (xy 145.965397 81.4365) (xy 145.824942 81.464438) (xy 145.692636 81.519241) (xy 145.573564 81.598802) - (xy 145.472302 81.700064) (xy 145.392741 81.819136) (xy 145.337938 81.951442) (xy 145.31 82.091897) (xy 145.31 82.235103) - (xy 145.337938 82.375558) (xy 145.392741 82.507864) (xy 145.472302 82.626936) (xy 145.573564 82.728198) (xy 145.64798 82.777921) - (xy 145.564942 82.794438) (xy 145.432636 82.849241) (xy 145.313564 82.928802) (xy 145.212302 83.030064) (xy 145.132741 83.149136) - (xy 145.077938 83.281442) (xy 145.05 83.421897) (xy 142.117256 83.421897) (xy 142.091259 83.359136) (xy 142.011698 83.240064) - (xy 141.910436 83.138802) (xy 141.791364 83.059241) (xy 141.659058 83.004438) (xy 141.518603 82.9765) (xy 141.375397 82.9765) - (xy 141.234942 83.004438) (xy 141.102636 83.059241) (xy 140.983564 83.138802) (xy 140.882302 83.240064) (xy 140.802741 83.359136) - (xy 140.747938 83.491442) (xy 140.72 83.631897) (xy 137.615103 83.631897) (xy 137.710436 83.568198) (xy 137.811698 83.466936) - (xy 137.891259 83.347864) (xy 137.946062 83.215558) (xy 137.974 83.075103) (xy 137.974 82.931897) (xy 137.946062 82.791442) - (xy 137.910419 82.705391) (xy 137.972646 82.74697) (xy 138.104952 82.801773) (xy 138.245407 82.829711) (xy 138.388613 82.829711) - (xy 138.529068 82.801773) (xy 138.661374 82.74697) (xy 138.780446 82.667409) (xy 138.881708 82.566147) (xy 138.961269 82.447075) - (xy 139.016072 82.314769) (xy 139.04401 82.174314) (xy 139.04401 82.031108) (xy 139.016072 81.890653) (xy 138.961269 81.758347) - (xy 138.881708 81.639275) (xy 138.86433 81.621897) (xy 143.15 81.621897) (xy 143.15 81.765103) (xy 143.177938 81.905558) - (xy 143.232741 82.037864) (xy 143.312302 82.156936) (xy 143.413564 82.258198) (xy 143.532636 82.337759) (xy 143.664942 82.392562) - (xy 143.805397 82.4205) (xy 143.948603 82.4205) (xy 144.089058 82.392562) (xy 144.221364 82.337759) (xy 144.340436 82.258198) - (xy 144.441698 82.156936) (xy 144.521259 82.037864) (xy 144.576062 81.905558) (xy 144.604 81.765103) (xy 144.604 81.621897) - (xy 144.576062 81.481442) (xy 144.521259 81.349136) (xy 144.482233 81.290729) (xy 144.534942 81.312562) (xy 144.675397 81.3405) - (xy 144.818603 81.3405) (xy 144.959058 81.312562) (xy 145.091364 81.257759) (xy 145.210436 81.178198) (xy 145.311698 81.076936) - (xy 145.391259 80.957864) (xy 145.446062 80.825558) (xy 145.474 80.685103) (xy 145.474 80.541897) (xy 145.446062 80.401442) - (xy 145.391259 80.269136) (xy 145.311698 80.150064) (xy 145.210436 80.048802) (xy 145.091364 79.969241) (xy 144.959058 79.914438) - (xy 144.818603 79.8865) (xy 144.675397 79.8865) (xy 144.534942 79.914438) (xy 144.402636 79.969241) (xy 144.283564 80.048802) - (xy 144.182302 80.150064) (xy 144.102741 80.269136) (xy 144.047938 80.401442) (xy 144.02 80.541897) (xy 144.02 80.685103) - (xy 144.047938 80.825558) (xy 144.102741 80.957864) (xy 144.141767 81.016271) (xy 144.089058 80.994438) (xy 143.948603 80.9665) - (xy 143.805397 80.9665) (xy 143.664942 80.994438) (xy 143.532636 81.049241) (xy 143.413564 81.128802) (xy 143.312302 81.230064) - (xy 143.232741 81.349136) (xy 143.177938 81.481442) (xy 143.15 81.621897) (xy 138.86433 81.621897) (xy 138.780446 81.538013) - (xy 138.661374 81.458452) (xy 138.529068 81.403649) (xy 138.388613 81.375711) (xy 138.245407 81.375711) (xy 138.104952 81.403649) - (xy 137.972646 81.458452) (xy 137.853574 81.538013) (xy 137.752312 81.639275) (xy 137.672751 81.758347) (xy 137.617948 81.890653) - (xy 137.59001 82.031108) (xy 137.59001 82.174314) (xy 137.617948 82.314769) (xy 137.653591 82.40082) (xy 137.591364 82.359241) - (xy 137.459058 82.304438) (xy 137.318603 82.2765) (xy 137.175397 82.2765) (xy 137.034942 82.304438) (xy 136.902636 82.359241) - (xy 136.783564 82.438802) (xy 136.682302 82.540064) (xy 136.602741 82.659136) (xy 136.547938 82.791442) (xy 136.52 82.931897) - (xy 131.080113 82.931897) (xy 131.076044 82.911442) (xy 131.021241 82.779136) (xy 130.94168 82.660064) (xy 130.840418 82.558802) - (xy 130.721346 82.479241) (xy 130.58904 82.424438) (xy 130.448585 82.3965) (xy 130.305379 82.3965) (xy 130.164924 82.424438) - (xy 130.032618 82.479241) (xy 129.913546 82.558802) (xy 129.812284 82.660064) (xy 129.732723 82.779136) (xy 129.67792 82.911442) - (xy 129.649982 83.051897) (xy 128.808044 83.051897) (xy 128.786072 82.941438) (xy 128.731269 82.809132) (xy 128.651708 82.69006) - (xy 128.550446 82.588798) (xy 128.431374 82.509237) (xy 128.299068 82.454434) (xy 128.158613 82.426496) (xy 128.015407 82.426496) - (xy 127.874952 82.454434) (xy 127.742646 82.509237) (xy 127.623574 82.588798) (xy 127.522312 82.69006) (xy 127.442751 82.809132) - (xy 127.387948 82.941438) (xy 127.36001 83.081893) (xy 127.071 83.081893) (xy 127.071 79.587609) (xy 127.09838 79.308366) - (xy 127.166754 79.081897) (xy 132.6 79.081897) (xy 132.6 79.225103) (xy 132.627938 79.365558) (xy 132.682741 79.497864) - (xy 132.762302 79.616936) (xy 132.863564 79.718198) (xy 132.982636 79.797759) (xy 133.114942 79.852562) (xy 133.255397 79.8805) - (xy 133.398603 79.8805) (xy 133.539058 79.852562) (xy 133.671364 79.797759) (xy 133.790436 79.718198) (xy 133.891698 79.616936) - (xy 133.971259 79.497864) (xy 134.026062 79.365558) (xy 134.054 79.225103) (xy 134.054 79.081897) (xy 134.048276 79.053116) - (xy 136.204677 79.053116) (xy 136.204677 79.196322) (xy 136.232615 79.336777) (xy 136.287418 79.469083) (xy 136.366979 79.588155) - (xy 136.468241 79.689417) (xy 136.587313 79.768978) (xy 136.719619 79.823781) (xy 136.860074 79.851719) (xy 137.00328 79.851719) - (xy 137.143735 79.823781) (xy 137.155356 79.818967) (xy 137.239253 79.902864) (xy 137.358325 79.982425) (xy 137.490631 80.037228) - (xy 137.631086 80.065166) (xy 137.774292 80.065166) (xy 137.881379 80.043865) (xy 137.932741 80.167864) (xy 138.012302 80.286936) - (xy 138.113564 80.388198) (xy 138.232636 80.467759) (xy 138.364942 80.522562) (xy 138.505397 80.5505) (xy 138.648603 80.5505) - (xy 138.789058 80.522562) (xy 138.921364 80.467759) (xy 139.040436 80.388198) (xy 139.141698 80.286936) (xy 139.221259 80.167864) - (xy 139.276062 80.035558) (xy 139.304 79.895103) (xy 139.304 79.751897) (xy 139.276062 79.611442) (xy 139.221259 79.479136) - (xy 139.16965 79.401897) (xy 141.14 79.401897) (xy 141.14 79.545103) (xy 141.167938 79.685558) (xy 141.222741 79.817864) - (xy 141.302302 79.936936) (xy 141.403564 80.038198) (xy 141.522636 80.117759) (xy 141.654942 80.172562) (xy 141.795397 80.2005) - (xy 141.938603 80.2005) (xy 142.079058 80.172562) (xy 142.211364 80.117759) (xy 142.330436 80.038198) (xy 142.431698 79.936936) - (xy 142.511259 79.817864) (xy 142.566062 79.685558) (xy 142.588484 79.57283) (xy 147.832389 79.57283) (xy 147.832389 79.716036) - (xy 147.860327 79.856491) (xy 147.91513 79.988797) (xy 147.994691 80.107869) (xy 148.095953 80.209131) (xy 148.215025 80.288692) - (xy 148.347331 80.343495) (xy 148.487786 80.371433) (xy 148.630992 80.371433) (xy 148.771447 80.343495) (xy 148.903753 80.288692) - (xy 149.022825 80.209131) (xy 149.040059 80.191897) (xy 154.48 80.191897) (xy 154.48 80.335103) (xy 154.507938 80.475558) - (xy 154.562741 80.607864) (xy 154.642302 80.726936) (xy 154.743564 80.828198) (xy 154.862636 80.907759) (xy 154.994942 80.962562) - (xy 155.135397 80.9905) (xy 155.278603 80.9905) (xy 155.419058 80.962562) (xy 155.551364 80.907759) (xy 155.605035 80.871897) - (xy 158.21 80.871897) (xy 158.21 81.015103) (xy 158.237938 81.155558) (xy 158.292741 81.287864) (xy 158.372302 81.406936) - (xy 158.473564 81.508198) (xy 158.592636 81.587759) (xy 158.724942 81.642562) (xy 158.865397 81.6705) (xy 159.008603 81.6705) - (xy 159.149058 81.642562) (xy 159.281364 81.587759) (xy 159.400436 81.508198) (xy 159.501698 81.406936) (xy 159.581259 81.287864) - (xy 159.636062 81.155558) (xy 159.664 81.015103) (xy 159.664 80.871897) (xy 159.636062 80.731442) (xy 159.581259 80.599136) - (xy 159.501698 80.480064) (xy 159.400436 80.378802) (xy 159.281364 80.299241) (xy 159.149058 80.244438) (xy 159.008603 80.2165) - (xy 158.865397 80.2165) (xy 158.724942 80.244438) (xy 158.592636 80.299241) (xy 158.473564 80.378802) (xy 158.372302 80.480064) - (xy 158.292741 80.599136) (xy 158.237938 80.731442) (xy 158.21 80.871897) (xy 155.605035 80.871897) (xy 155.670436 80.828198) - (xy 155.771698 80.726936) (xy 155.851259 80.607864) (xy 155.906062 80.475558) (xy 155.934 80.335103) (xy 155.934 80.191897) - (xy 155.906062 80.051442) (xy 155.851259 79.919136) (xy 155.786467 79.822167) (xy 155.851698 79.756936) (xy 155.931259 79.637864) - (xy 155.986062 79.505558) (xy 156.014 79.365103) (xy 156.014 79.221897) (xy 155.986062 79.081442) (xy 155.931259 78.949136) - (xy 155.851698 78.830064) (xy 155.750436 78.728802) (xy 155.631364 78.649241) (xy 155.499058 78.594438) (xy 155.358603 78.5665) - (xy 155.215397 78.5665) (xy 155.074942 78.594438) (xy 154.942636 78.649241) (xy 154.823564 78.728802) (xy 154.722302 78.830064) - (xy 154.642741 78.949136) (xy 154.587938 79.081442) (xy 154.56 79.221897) (xy 154.56 79.365103) (xy 154.587938 79.505558) - (xy 154.642741 79.637864) (xy 154.707533 79.734833) (xy 154.642302 79.800064) (xy 154.562741 79.919136) (xy 154.507938 80.051442) - (xy 154.48 80.191897) (xy 149.040059 80.191897) (xy 149.124087 80.107869) (xy 149.203648 79.988797) (xy 149.258451 79.856491) - (xy 149.286389 79.716036) (xy 149.286389 79.57283) (xy 149.258451 79.432375) (xy 149.233401 79.371897) (xy 149.7 79.371897) - (xy 149.7 79.515103) (xy 149.727938 79.655558) (xy 149.782741 79.787864) (xy 149.862302 79.906936) (xy 149.963564 80.008198) - (xy 150.082636 80.087759) (xy 150.214942 80.142562) (xy 150.355397 80.1705) (xy 150.498603 80.1705) (xy 150.639058 80.142562) - (xy 150.771364 80.087759) (xy 150.890436 80.008198) (xy 150.991698 79.906936) (xy 151.071259 79.787864) (xy 151.126062 79.655558) - (xy 151.154 79.515103) (xy 151.154 79.371897) (xy 151.126062 79.231442) (xy 151.071259 79.099136) (xy 150.991698 78.980064) - (xy 150.890436 78.878802) (xy 150.771364 78.799241) (xy 150.639058 78.744438) (xy 150.498603 78.7165) (xy 150.355397 78.7165) - (xy 150.214942 78.744438) (xy 150.082636 78.799241) (xy 149.963564 78.878802) (xy 149.862302 78.980064) (xy 149.782741 79.099136) - (xy 149.727938 79.231442) (xy 149.7 79.371897) (xy 149.233401 79.371897) (xy 149.203648 79.300069) (xy 149.124087 79.180997) - (xy 149.022825 79.079735) (xy 148.903753 79.000174) (xy 148.771447 78.945371) (xy 148.630992 78.917433) (xy 148.487786 78.917433) - (xy 148.347331 78.945371) (xy 148.215025 79.000174) (xy 148.095953 79.079735) (xy 147.994691 79.180997) (xy 147.91513 79.300069) - (xy 147.860327 79.432375) (xy 147.832389 79.57283) (xy 142.588484 79.57283) (xy 142.594 79.545103) (xy 142.594 79.401897) - (xy 142.566062 79.261442) (xy 142.511259 79.129136) (xy 142.431698 79.010064) (xy 142.330436 78.908802) (xy 142.211364 78.829241) - (xy 142.079058 78.774438) (xy 141.938603 78.7465) (xy 141.795397 78.7465) (xy 141.654942 78.774438) (xy 141.522636 78.829241) - (xy 141.403564 78.908802) (xy 141.302302 79.010064) (xy 141.222741 79.129136) (xy 141.167938 79.261442) (xy 141.14 79.401897) - (xy 139.16965 79.401897) (xy 139.141698 79.360064) (xy 139.040436 79.258802) (xy 138.921364 79.179241) (xy 138.789058 79.124438) - (xy 138.648603 79.0965) (xy 138.505397 79.0965) (xy 138.39831 79.117801) (xy 138.346948 78.993802) (xy 138.267387 78.87473) - (xy 138.166125 78.773468) (xy 138.047053 78.693907) (xy 137.914747 78.639104) (xy 137.774292 78.611166) (xy 137.631086 78.611166) - (xy 137.490631 78.639104) (xy 137.47901 78.643918) (xy 137.395113 78.560021) (xy 137.276041 78.48046) (xy 137.143735 78.425657) - (xy 137.00328 78.397719) (xy 136.860074 78.397719) (xy 136.719619 78.425657) (xy 136.587313 78.48046) (xy 136.468241 78.560021) - (xy 136.366979 78.661283) (xy 136.287418 78.780355) (xy 136.232615 78.912661) (xy 136.204677 79.053116) (xy 134.048276 79.053116) - (xy 134.026062 78.941442) (xy 133.971259 78.809136) (xy 133.891698 78.690064) (xy 133.790436 78.588802) (xy 133.671364 78.509241) - (xy 133.539058 78.454438) (xy 133.398603 78.4265) (xy 133.255397 78.4265) (xy 133.114942 78.454438) (xy 132.982636 78.509241) - (xy 132.863564 78.588802) (xy 132.762302 78.690064) (xy 132.682741 78.809136) (xy 132.627938 78.941442) (xy 132.6 79.081897) - (xy 127.166754 79.081897) (xy 127.173055 79.061027) (xy 127.294351 78.832903) (xy 127.457648 78.632681) (xy 127.656721 78.467993) - (xy 127.883994 78.345107) (xy 128.130806 78.268706) (xy 128.408686 78.2395) (xy 159.108891 78.2395) + (xy 159.388134 78.26688) + (xy 159.635473 78.341555) + (xy 159.863597 78.462851) + (xy 160.063819 78.626148) + (xy 160.228507 78.825221) + (xy 160.351393 79.052494) + (xy 160.427794 79.299306) + (xy 160.457001 79.577195) + (xy 160.457 118.151391) + (xy 160.42962 118.430633) + (xy 160.354943 118.677977) + (xy 160.233649 118.906097) + (xy 160.070352 119.106319) + (xy 159.871279 119.271007) + (xy 159.644008 119.393892) + (xy 159.397194 119.470294) + (xy 159.119314 119.4995) + (xy 128.419109 119.4995) + (xy 128.139867 119.47212) + (xy 127.892523 119.397443) + (xy 127.664403 119.276149) + (xy 127.464181 119.112852) + (xy 127.299493 118.913779) + (xy 127.176608 118.686508) + (xy 127.100206 118.439694) + (xy 127.071 118.161814) + (xy 127.071 115.941858) + (xy 128.402 115.941858) + (xy 128.402 116.341142) + (xy 128.479896 116.732754) + (xy 128.632696 117.101645) + (xy 128.854526 117.433637) + (xy 129.136863 117.715974) + (xy 129.468855 117.937804) + (xy 129.837746 118.090604) + (xy 130.229358 118.1685) + (xy 130.628642 118.1685) + (xy 131.020254 118.090604) + (xy 131.389145 117.937804) + (xy 131.721137 117.715974) + (xy 132.003474 117.433637) + (xy 132.225304 117.101645) + (xy 132.378104 116.732754) + (xy 132.414343 116.550566) + (xy 134.557539 116.550566) + (xy 134.659339 116.820079) + (xy 134.957477 116.965963) + (xy 135.278346 117.05088) + (xy 135.609617 117.071566) + (xy 135.938557 117.027228) + (xy 136.252527 116.919569) + (xy 136.438661 116.820079) + (xy 136.540461 116.550566) + (xy 135.549 115.559105) + (xy 134.557539 116.550566) + (xy 132.414343 116.550566) + (xy 132.456 116.341142) + (xy 132.456 115.941858) + (xy 132.378104 115.550246) + (xy 132.332487 115.440117) + (xy 133.856934 115.440117) + (xy 133.901272 115.769057) + (xy 134.008931 116.083027) + (xy 134.108421 116.269161) + (xy 134.377934 116.370961) + (xy 135.369395 115.3795) + (xy 135.728605 115.3795) + (xy 136.720066 116.370961) + (xy 136.891766 116.306107) + (xy 136.901739 116.321033) + (xy 137.107467 116.526761) + (xy 137.349378 116.688401) + (xy 137.618175 116.79974) + (xy 137.903528 116.8565) + (xy 138.194472 116.8565) + (xy 138.479825 116.79974) + (xy 138.748622 116.688401) + (xy 138.990533 116.526761) + (xy 139.196261 116.321033) + (xy 139.299 116.167273) + (xy 139.401739 116.321033) + (xy 139.607467 116.526761) + (xy 139.849378 116.688401) + (xy 140.118175 116.79974) + (xy 140.403528 116.8565) + (xy 140.694472 116.8565) + (xy 140.979825 116.79974) + (xy 141.248622 116.688401) + (xy 141.454906 116.550566) + (xy 146.392039 116.550566) + (xy 146.493839 116.820079) + (xy 146.791977 116.965963) + (xy 147.112846 117.05088) + (xy 147.444117 117.071566) + (xy 147.773057 117.027228) + (xy 148.087027 116.919569) + (xy 148.273161 116.820079) + (xy 148.374961 116.550566) + (xy 147.3835 115.559105) + (xy 146.392039 116.550566) + (xy 141.454906 116.550566) + (xy 141.490533 116.526761) + (xy 141.696261 116.321033) + (xy 141.857901 116.079122) + (xy 141.96924 115.810325) + (xy 142.026 115.524972) + (xy 142.026 115.440117) + (xy 145.691434 115.440117) + (xy 145.735772 115.769057) + (xy 145.843431 116.083027) + (xy 145.942921 116.269161) + (xy 146.212434 116.370961) + (xy 147.203895 115.3795) + (xy 147.563105 115.3795) + (xy 148.554566 116.370961) + (xy 148.726266 116.306107) + (xy 148.736239 116.321033) + (xy 148.941967 116.526761) + (xy 149.183878 116.688401) + (xy 149.452675 116.79974) + (xy 149.738028 116.8565) + (xy 150.028972 116.8565) + (xy 150.314325 116.79974) + (xy 150.583122 116.688401) + (xy 150.825033 116.526761) + (xy 151.030761 116.321033) + (xy 151.1335 116.167273) + (xy 151.236239 116.321033) + (xy 151.441967 116.526761) + (xy 151.683878 116.688401) + (xy 151.952675 116.79974) + (xy 152.238028 116.8565) + (xy 152.528972 116.8565) + (xy 152.814325 116.79974) + (xy 153.083122 116.688401) + (xy 153.325033 116.526761) + (xy 153.530761 116.321033) + (xy 153.692401 116.079122) + (xy 153.749257 115.941858) + (xy 155.072 115.941858) + (xy 155.072 116.341142) + (xy 155.149896 116.732754) + (xy 155.302696 117.101645) + (xy 155.524526 117.433637) + (xy 155.806863 117.715974) + (xy 156.138855 117.937804) + (xy 156.507746 118.090604) + (xy 156.899358 118.1685) + (xy 157.298642 118.1685) + (xy 157.690254 118.090604) + (xy 158.059145 117.937804) + (xy 158.391137 117.715974) + (xy 158.673474 117.433637) + (xy 158.895304 117.101645) + (xy 159.048104 116.732754) + (xy 159.126 116.341142) + (xy 159.126 115.941858) + (xy 159.048104 115.550246) + (xy 158.895304 115.181355) + (xy 158.673474 114.849363) + (xy 158.391137 114.567026) + (xy 158.059145 114.345196) + (xy 157.690254 114.192396) + (xy 157.298642 114.1145) + (xy 156.899358 114.1145) + (xy 156.507746 114.192396) + (xy 156.138855 114.345196) + (xy 155.806863 114.567026) + (xy 155.524526 114.849363) + (xy 155.302696 115.181355) + (xy 155.149896 115.550246) + (xy 155.072 115.941858) + (xy 153.749257 115.941858) + (xy 153.80374 115.810325) + (xy 153.8605 115.524972) + (xy 153.8605 115.234028) + (xy 153.80374 114.948675) + (xy 153.692401 114.679878) + (xy 153.530761 114.437967) + (xy 153.325033 114.232239) + (xy 153.083122 114.070599) + (xy 152.814325 113.95926) + (xy 152.528972 113.9025) + (xy 152.238028 113.9025) + (xy 151.952675 113.95926) + (xy 151.683878 114.070599) + (xy 151.441967 114.232239) + (xy 151.236239 114.437967) + (xy 151.1335 114.591727) + (xy 151.030761 114.437967) + (xy 150.825033 114.232239) + (xy 150.583122 114.070599) + (xy 150.314325 113.95926) + (xy 150.028972 113.9025) + (xy 149.738028 113.9025) + (xy 149.452675 113.95926) + (xy 149.183878 114.070599) + (xy 148.941967 114.232239) + (xy 148.736239 114.437967) + (xy 148.726266 114.452893) + (xy 148.554566 114.388039) + (xy 147.563105 115.3795) + (xy 147.203895 115.3795) + (xy 146.212434 114.388039) + (xy 145.942921 114.489839) + (xy 145.797037 114.787977) + (xy 145.71212 115.108846) + (xy 145.691434 115.440117) + (xy 142.026 115.440117) + (xy 142.026 115.234028) + (xy 141.96924 114.948675) + (xy 141.857901 114.679878) + (xy 141.696261 114.437967) + (xy 141.490533 114.232239) + (xy 141.454907 114.208434) + (xy 146.392039 114.208434) + (xy 147.3835 115.199895) + (xy 148.374961 114.208434) + (xy 148.273161 113.938921) + (xy 147.975023 113.793037) + (xy 147.654154 113.70812) + (xy 147.322883 113.687434) + (xy 146.993943 113.731772) + (xy 146.679973 113.839431) + (xy 146.493839 113.938921) + (xy 146.392039 114.208434) + (xy 141.454907 114.208434) + (xy 141.248622 114.070599) + (xy 140.979825 113.95926) + (xy 140.694472 113.9025) + (xy 140.403528 113.9025) + (xy 140.118175 113.95926) + (xy 139.849378 114.070599) + (xy 139.607467 114.232239) + (xy 139.401739 114.437967) + (xy 139.299 114.591727) + (xy 139.196261 114.437967) + (xy 138.990533 114.232239) + (xy 138.748622 114.070599) + (xy 138.479825 113.95926) + (xy 138.194472 113.9025) + (xy 137.903528 113.9025) + (xy 137.618175 113.95926) + (xy 137.349378 114.070599) + (xy 137.107467 114.232239) + (xy 136.901739 114.437967) + (xy 136.891766 114.452893) + (xy 136.720066 114.388039) + (xy 135.728605 115.3795) + (xy 135.369395 115.3795) + (xy 134.377934 114.388039) + (xy 134.108421 114.489839) + (xy 133.962537 114.787977) + (xy 133.87762 115.108846) + (xy 133.856934 115.440117) + (xy 132.332487 115.440117) + (xy 132.225304 115.181355) + (xy 132.003474 114.849363) + (xy 131.721137 114.567026) + (xy 131.389145 114.345196) + (xy 131.058974 114.208434) + (xy 134.557539 114.208434) + (xy 135.549 115.199895) + (xy 136.540461 114.208434) + (xy 136.438661 113.938921) + (xy 136.140523 113.793037) + (xy 135.819654 113.70812) + (xy 135.488383 113.687434) + (xy 135.159443 113.731772) + (xy 134.845473 113.839431) + (xy 134.659339 113.938921) + (xy 134.557539 114.208434) + (xy 131.058974 114.208434) + (xy 131.020254 114.192396) + (xy 130.628642 114.1145) + (xy 130.229358 114.1145) + (xy 129.837746 114.192396) + (xy 129.468855 114.345196) + (xy 129.136863 114.567026) + (xy 128.854526 114.849363) + (xy 128.632696 115.181355) + (xy 128.479896 115.550246) + (xy 128.402 115.941858) + (xy 127.071 115.941858) + (xy 127.071 112.694546) + (xy 137.222 112.694546) + (xy 137.222 112.85745) + (xy 137.253782 113.017225) + (xy 137.316123 113.167729) + (xy 137.406628 113.303179) + (xy 137.521819 113.41837) + (xy 137.657269 113.508875) + (xy 137.807773 113.571216) + (xy 137.967548 113.602998) + (xy 138.130452 113.602998) + (xy 138.290227 113.571216) + (xy 138.440731 113.508875) + (xy 138.576181 113.41837) + (xy 138.691372 113.303179) + (xy 138.781877 113.167729) + (xy 138.844218 113.017225) + (xy 138.876 112.85745) + (xy 138.876 112.694546) + (xy 138.844218 112.534771) + (xy 138.781877 112.384267) + (xy 138.691372 112.248817) + (xy 138.576181 112.133626) + (xy 138.440731 112.043121) + (xy 138.290227 111.98078) + (xy 138.130452 111.948998) + (xy 137.967548 111.948998) + (xy 137.807773 111.98078) + (xy 137.657269 112.043121) + (xy 137.521819 112.133626) + (xy 137.406628 112.248817) + (xy 137.316123 112.384267) + (xy 137.253782 112.534771) + (xy 137.222 112.694546) + (xy 127.071 112.694546) + (xy 127.071 111.170548) + (xy 148.144 111.170548) + (xy 148.144 111.333452) + (xy 148.175782 111.493227) + (xy 148.238123 111.643731) + (xy 148.328628 111.779181) + (xy 148.443819 111.894372) + (xy 148.579269 111.984877) + (xy 148.729773 112.047218) + (xy 148.889548 112.079) + (xy 149.052452 112.079) + (xy 149.212227 112.047218) + (xy 149.362731 111.984877) + (xy 149.498181 111.894372) + (xy 149.613372 111.779181) + (xy 149.703877 111.643731) + (xy 149.766218 111.493227) + (xy 149.798 111.333452) + (xy 149.798 111.170548) + (xy 149.766218 111.010773) + (xy 149.703877 110.860269) + (xy 149.613372 110.724819) + (xy 149.498181 110.609628) + (xy 149.362731 110.519123) + (xy 149.212227 110.456782) + (xy 149.052452 110.425) + (xy 148.889548 110.425) + (xy 148.729773 110.456782) + (xy 148.579269 110.519123) + (xy 148.443819 110.609628) + (xy 148.328628 110.724819) + (xy 148.238123 110.860269) + (xy 148.175782 111.010773) + (xy 148.144 111.170548) + (xy 127.071 111.170548) + (xy 127.071 109.101) + (xy 130.261934 109.101) + (xy 130.261934 110.101) + (xy 130.270178 110.184707) + (xy 130.294595 110.265196) + (xy 130.334245 110.339376) + (xy 130.387605 110.404395) + (xy 130.452624 110.457755) + (xy 130.526804 110.497405) + (xy 130.607293 110.521822) + (xy 130.691 110.530066) + (xy 131.691 110.530066) + (xy 131.774707 110.521822) + (xy 131.855196 110.497405) + (xy 131.929376 110.457755) + (xy 131.994395 110.404395) + (xy 132.047755 110.339376) + (xy 132.087405 110.265196) + (xy 132.111822 110.184707) + (xy 132.120066 110.101) + (xy 132.120066 109.581897) + (xy 135.44 109.581897) + (xy 135.44 109.725103) + (xy 135.467938 109.865558) + (xy 135.522741 109.997864) + (xy 135.602302 110.116936) + (xy 135.703564 110.218198) + (xy 135.822636 110.297759) + (xy 135.954942 110.352562) + (xy 136.095397 110.3805) + (xy 136.238603 110.3805) + (xy 136.379058 110.352562) + (xy 136.511364 110.297759) + (xy 136.630436 110.218198) + (xy 136.731698 110.116936) + (xy 136.811259 109.997864) + (xy 136.865511 109.866888) + (xy 138.727167 109.866888) + (xy 138.727167 110.010094) + (xy 138.755105 110.150549) + (xy 138.809908 110.282855) + (xy 138.889469 110.401927) + (xy 138.990731 110.503189) + (xy 139.109803 110.58275) + (xy 139.242109 110.637553) + (xy 139.382564 110.665491) + (xy 139.52577 110.665491) + (xy 139.666225 110.637553) + (xy 139.798531 110.58275) + (xy 139.917603 110.503189) + (xy 140.018865 110.401927) + (xy 140.098426 110.282855) + (xy 140.153229 110.150549) + (xy 140.181167 110.010094) + (xy 140.181167 109.866888) + (xy 140.153229 109.726433) + (xy 140.098426 109.594127) + (xy 140.018865 109.475055) + (xy 139.917603 109.373793) + (xy 139.798531 109.294232) + (xy 139.666225 109.239429) + (xy 139.52577 109.211491) + (xy 139.382564 109.211491) + (xy 139.242109 109.239429) + (xy 139.109803 109.294232) + (xy 138.990731 109.373793) + (xy 138.889469 109.475055) + (xy 138.809908 109.594127) + (xy 138.755105 109.726433) + (xy 138.727167 109.866888) + (xy 136.865511 109.866888) + (xy 136.866062 109.865558) + (xy 136.894 109.725103) + (xy 136.894 109.581897) + (xy 136.866062 109.441442) + (xy 136.811259 109.309136) + (xy 136.731698 109.190064) + (xy 136.630436 109.088802) + (xy 136.511364 109.009241) + (xy 136.379058 108.954438) + (xy 136.238603 108.9265) + (xy 136.095397 108.9265) + (xy 135.954942 108.954438) + (xy 135.822636 109.009241) + (xy 135.703564 109.088802) + (xy 135.602302 109.190064) + (xy 135.522741 109.309136) + (xy 135.467938 109.441442) + (xy 135.44 109.581897) + (xy 132.120066 109.581897) + (xy 132.120066 109.101) + (xy 132.111822 109.017293) + (xy 132.087405 108.936804) + (xy 132.047755 108.862624) + (xy 131.994395 108.797605) + (xy 131.994216 108.797458) + (xy 132.012496 108.770099) + (xy 132.082376 108.601396) + (xy 132.118 108.422301) + (xy 132.118 108.239699) + (xy 132.082376 108.060604) + (xy 132.064057 108.016377) + (xy 135.170631 108.016377) + (xy 135.170631 108.159583) + (xy 135.198569 108.300038) + (xy 135.253372 108.432344) + (xy 135.332933 108.551416) + (xy 135.434195 108.652678) + (xy 135.553267 108.732239) + (xy 135.685573 108.787042) + (xy 135.826028 108.81498) + (xy 135.969234 108.81498) + (xy 136.109689 108.787042) + (xy 136.241995 108.732239) + (xy 136.361067 108.652678) + (xy 136.462329 108.551416) + (xy 136.54189 108.432344) + (xy 136.596693 108.300038) + (xy 136.624631 108.159583) + (xy 136.624631 108.016377) + (xy 136.596693 107.875922) + (xy 136.54189 107.743616) + (xy 136.462329 107.624544) + (xy 136.361067 107.523282) + (xy 136.241995 107.443721) + (xy 136.109689 107.388918) + (xy 135.969234 107.36098) + (xy 135.826028 107.36098) + (xy 135.685573 107.388918) + (xy 135.553267 107.443721) + (xy 135.434195 107.523282) + (xy 135.332933 107.624544) + (xy 135.253372 107.743616) + (xy 135.198569 107.875922) + (xy 135.170631 108.016377) + (xy 132.064057 108.016377) + (xy 132.012496 107.891901) + (xy 131.911048 107.740072) + (xy 131.781928 107.610952) + (xy 131.630099 107.509504) + (xy 131.461396 107.439624) + (xy 131.282301 107.404) + (xy 131.099699 107.404) + (xy 130.920604 107.439624) + (xy 130.751901 107.509504) + (xy 130.600072 107.610952) + (xy 130.470952 107.740072) + (xy 130.369504 107.891901) + (xy 130.299624 108.060604) + (xy 130.264 108.239699) + (xy 130.264 108.422301) + (xy 130.299624 108.601396) + (xy 130.369504 108.770099) + (xy 130.387784 108.797458) + (xy 130.387605 108.797605) + (xy 130.334245 108.862624) + (xy 130.294595 108.936804) + (xy 130.270178 109.017293) + (xy 130.261934 109.101) + (xy 127.071 109.101) + (xy 127.071 106.41954) + (xy 132.483994 106.41954) + (xy 132.483994 106.582444) + (xy 132.515776 106.742219) + (xy 132.578117 106.892723) + (xy 132.668622 107.028173) + (xy 132.783813 107.143364) + (xy 132.919263 107.233869) + (xy 133.069767 107.29621) + (xy 133.229542 107.327992) + (xy 133.392446 107.327992) + (xy 133.552221 107.29621) + (xy 133.702725 107.233869) + (xy 133.838175 107.143364) + (xy 133.953366 107.028173) + (xy 134.043871 106.892723) + (xy 134.106212 106.742219) + (xy 134.137994 106.582444) + (xy 134.137994 106.506179) + (xy 137.098012 106.506179) + (xy 137.098012 106.649385) + (xy 137.12595 106.78984) + (xy 137.180753 106.922146) + (xy 137.260314 107.041218) + (xy 137.361576 107.14248) + (xy 137.480648 107.222041) + (xy 137.612954 107.276844) + (xy 137.753409 107.304782) + (xy 137.896615 107.304782) + (xy 138.03707 107.276844) + (xy 138.169376 107.222041) + (xy 138.288448 107.14248) + (xy 138.38971 107.041218) + (xy 138.469271 106.922146) + (xy 138.524074 106.78984) + (xy 138.552012 106.649385) + (xy 138.552012 106.506179) + (xy 138.524074 106.365724) + (xy 138.469271 106.233418) + (xy 138.38971 106.114346) + (xy 138.317261 106.041897) + (xy 150.39 106.041897) + (xy 150.39 106.185103) + (xy 150.417938 106.325558) + (xy 150.472741 106.457864) + (xy 150.552302 106.576936) + (xy 150.653564 106.678198) + (xy 150.772636 106.757759) + (xy 150.904942 106.812562) + (xy 151.045397 106.8405) + (xy 151.188603 106.8405) + (xy 151.329058 106.812562) + (xy 151.461364 106.757759) + (xy 151.580436 106.678198) + (xy 151.681698 106.576936) + (xy 151.761259 106.457864) + (xy 151.816062 106.325558) + (xy 151.844 106.185103) + (xy 151.844 106.041897) + (xy 151.816062 105.901442) + (xy 151.761259 105.769136) + (xy 151.681698 105.650064) + (xy 151.580436 105.548802) + (xy 151.461364 105.469241) + (xy 151.329058 105.414438) + (xy 151.188603 105.3865) + (xy 151.045397 105.3865) + (xy 150.904942 105.414438) + (xy 150.772636 105.469241) + (xy 150.653564 105.548802) + (xy 150.552302 105.650064) + (xy 150.472741 105.769136) + (xy 150.417938 105.901442) + (xy 150.39 106.041897) + (xy 138.317261 106.041897) + (xy 138.288448 106.013084) + (xy 138.169376 105.933523) + (xy 138.03707 105.87872) + (xy 137.896615 105.850782) + (xy 137.753409 105.850782) + (xy 137.612954 105.87872) + (xy 137.480648 105.933523) + (xy 137.361576 106.013084) + (xy 137.260314 106.114346) + (xy 137.180753 106.233418) + (xy 137.12595 106.365724) + (xy 137.098012 106.506179) + (xy 134.137994 106.506179) + (xy 134.137994 106.41954) + (xy 134.106212 106.259765) + (xy 134.043871 106.109261) + (xy 133.953366 105.973811) + (xy 133.838175 105.85862) + (xy 133.702725 105.768115) + (xy 133.552221 105.705774) + (xy 133.392446 105.673992) + (xy 133.229542 105.673992) + (xy 133.069767 105.705774) + (xy 132.919263 105.768115) + (xy 132.783813 105.85862) + (xy 132.668622 105.973811) + (xy 132.578117 106.109261) + (xy 132.515776 106.259765) + (xy 132.483994 106.41954) + (xy 127.071 106.41954) + (xy 127.071 100.49639) + (xy 129.749524 100.49639) + (xy 129.794175 100.643599) + (xy 129.919359 100.90642) + (xy 130.093412 101.139769) + (xy 130.309645 101.334678) + (xy 130.420035 101.400434) + (xy 130.341 101.400434) + (xy 130.257293 101.408678) + (xy 130.176804 101.433095) + (xy 130.102624 101.472745) + (xy 130.037605 101.526105) + (xy 129.984245 101.591124) + (xy 129.944595 101.665304) + (xy 129.920178 101.745793) + (xy 129.911934 101.8295) + (xy 129.911934 103.5295) + (xy 129.920178 103.613207) + (xy 129.944595 103.693696) + (xy 129.984245 103.767876) + (xy 130.037605 103.832895) + (xy 130.102624 103.886255) + (xy 130.176804 103.925905) + (xy 130.257293 103.950322) + (xy 130.341 103.958566) + (xy 132.041 103.958566) + (xy 132.124707 103.950322) + (xy 132.205196 103.925905) + (xy 132.279376 103.886255) + (xy 132.284686 103.881897) + (xy 135.43 103.881897) + (xy 135.43 104.025103) + (xy 135.457938 104.165558) + (xy 135.512741 104.297864) + (xy 135.592302 104.416936) + (xy 135.693564 104.518198) + (xy 135.812636 104.597759) + (xy 135.944942 104.652562) + (xy 136.085397 104.6805) + (xy 136.228603 104.6805) + (xy 136.369058 104.652562) + (xy 136.501364 104.597759) + (xy 136.620436 104.518198) + (xy 136.721698 104.416936) + (xy 136.801259 104.297864) + (xy 136.856062 104.165558) + (xy 136.868724 104.101897) + (xy 143.42 104.101897) + (xy 143.42 104.245103) + (xy 143.447938 104.385558) + (xy 143.502741 104.517864) + (xy 143.582302 104.636936) + (xy 143.683564 104.738198) + (xy 143.802636 104.817759) + (xy 143.934942 104.872562) + (xy 144.075397 104.9005) + (xy 144.218603 104.9005) + (xy 144.359058 104.872562) + (xy 144.491364 104.817759) + (xy 144.610436 104.738198) + (xy 144.711698 104.636936) + (xy 144.791259 104.517864) + (xy 144.846062 104.385558) + (xy 144.874 104.245103) + (xy 144.874 104.101897) + (xy 144.846062 103.961442) + (xy 144.791259 103.829136) + (xy 144.711698 103.710064) + (xy 144.610436 103.608802) + (xy 144.491364 103.529241) + (xy 144.359058 103.474438) + (xy 144.218603 103.4465) + (xy 144.075397 103.4465) + (xy 143.934942 103.474438) + (xy 143.802636 103.529241) + (xy 143.683564 103.608802) + (xy 143.582302 103.710064) + (xy 143.502741 103.829136) + (xy 143.447938 103.961442) + (xy 143.42 104.101897) + (xy 136.868724 104.101897) + (xy 136.884 104.025103) + (xy 136.884 103.881897) + (xy 136.856062 103.741442) + (xy 136.801259 103.609136) + (xy 136.721698 103.490064) + (xy 136.620436 103.388802) + (xy 136.501364 103.309241) + (xy 136.369058 103.254438) + (xy 136.228603 103.2265) + (xy 136.085397 103.2265) + (xy 135.944942 103.254438) + (xy 135.812636 103.309241) + (xy 135.693564 103.388802) + (xy 135.592302 103.490064) + (xy 135.512741 103.609136) + (xy 135.457938 103.741442) + (xy 135.43 103.881897) + (xy 132.284686 103.881897) + (xy 132.344395 103.832895) + (xy 132.397755 103.767876) + (xy 132.437405 103.693696) + (xy 132.461822 103.613207) + (xy 132.470066 103.5295) + (xy 132.470066 102.631897) + (xy 142.06 102.631897) + (xy 142.06 102.775103) + (xy 142.087938 102.915558) + (xy 142.142741 103.047864) + (xy 142.222302 103.166936) + (xy 142.323564 103.268198) + (xy 142.442636 103.347759) + (xy 142.574942 103.402562) + (xy 142.715397 103.4305) + (xy 142.858603 103.4305) + (xy 142.999058 103.402562) + (xy 143.131364 103.347759) + (xy 143.250436 103.268198) + (xy 143.351698 103.166936) + (xy 143.395141 103.101918) + (xy 147.677908 103.101918) + (xy 147.677908 103.245124) + (xy 147.705846 103.385579) + (xy 147.760649 103.517885) + (xy 147.84021 103.636957) + (xy 147.941472 103.738219) + (xy 148.060544 103.81778) + (xy 148.19285 103.872583) + (xy 148.333305 103.900521) + (xy 148.476511 103.900521) + (xy 148.616966 103.872583) + (xy 148.749272 103.81778) + (xy 148.868344 103.738219) + (xy 148.969606 103.636957) + (xy 149.049167 103.517885) + (xy 149.10397 103.385579) + (xy 149.131908 103.245124) + (xy 149.131908 103.101918) + (xy 149.10397 102.961463) + (xy 149.049167 102.829157) + (xy 148.969606 102.710085) + (xy 148.868344 102.608823) + (xy 148.749272 102.529262) + (xy 148.616966 102.474459) + (xy 148.476511 102.446521) + (xy 148.333305 102.446521) + (xy 148.19285 102.474459) + (xy 148.060544 102.529262) + (xy 147.941472 102.608823) + (xy 147.84021 102.710085) + (xy 147.760649 102.829157) + (xy 147.705846 102.961463) + (xy 147.677908 103.101918) + (xy 143.395141 103.101918) + (xy 143.431259 103.047864) + (xy 143.486062 102.915558) + (xy 143.514 102.775103) + (xy 143.514 102.631897) + (xy 143.486062 102.491442) + (xy 143.431259 102.359136) + (xy 143.351698 102.240064) + (xy 143.250436 102.138802) + (xy 143.235833 102.129045) + (xy 143.311364 102.097759) + (xy 143.430436 102.018198) + (xy 143.46 101.988634) + (xy 143.46 102.055103) + (xy 143.487938 102.195558) + (xy 143.542741 102.327864) + (xy 143.622302 102.446936) + (xy 143.723564 102.548198) + (xy 143.842636 102.627759) + (xy 143.974942 102.682562) + (xy 144.115397 102.7105) + (xy 144.258603 102.7105) + (xy 144.399058 102.682562) + (xy 144.531364 102.627759) + (xy 144.650436 102.548198) + (xy 144.751698 102.446936) + (xy 144.831259 102.327864) + (xy 144.886062 102.195558) + (xy 144.914 102.055103) + (xy 144.914 101.911897) + (xy 144.886062 101.771442) + (xy 144.831259 101.639136) + (xy 144.751698 101.520064) + (xy 144.650436 101.418802) + (xy 144.567738 101.363545) + (xy 148.241771 101.363545) + (xy 148.241771 101.506751) + (xy 148.269709 101.647206) + (xy 148.324512 101.779512) + (xy 148.404073 101.898584) + (xy 148.505335 101.999846) + (xy 148.624407 102.079407) + (xy 148.756713 102.13421) + (xy 148.897168 102.162148) + (xy 149.040374 102.162148) + (xy 149.180829 102.13421) + (xy 149.313135 102.079407) + (xy 149.432207 101.999846) + (xy 149.533469 101.898584) + (xy 149.61303 101.779512) + (xy 149.667833 101.647206) + (xy 149.695771 101.506751) + (xy 149.695771 101.363545) + (xy 149.667833 101.22309) + (xy 149.628028 101.126991) + (xy 150.114366 101.126991) + (xy 150.114366 101.270197) + (xy 150.142304 101.410652) + (xy 150.197107 101.542958) + (xy 150.276668 101.66203) + (xy 150.37793 101.763292) + (xy 150.497002 101.842853) + (xy 150.629308 101.897656) + (xy 150.769763 101.925594) + (xy 150.912969 101.925594) + (xy 151.053424 101.897656) + (xy 151.18573 101.842853) + (xy 151.304802 101.763292) + (xy 151.406064 101.66203) + (xy 151.485625 101.542958) + (xy 151.540428 101.410652) + (xy 151.552116 101.351887) + (xy 151.73 101.351887) + (xy 151.73 101.495093) + (xy 151.757938 101.635548) + (xy 151.812741 101.767854) + (xy 151.892302 101.886926) + (xy 151.993564 101.988188) + (xy 152.112636 102.067749) + (xy 152.244942 102.122552) + (xy 152.385397 102.15049) + (xy 152.528603 102.15049) + (xy 152.669058 102.122552) + (xy 152.789846 102.07252) + (xy 152.722302 102.140064) + (xy 152.642741 102.259136) + (xy 152.587938 102.391442) + (xy 152.56 102.531897) + (xy 152.56 102.675103) + (xy 152.587938 102.815558) + (xy 152.642741 102.947864) + (xy 152.722302 103.066936) + (xy 152.760332 103.104966) + (xy 152.66369 103.201608) + (xy 152.584129 103.32068) + (xy 152.529326 103.452986) + (xy 152.501388 103.593441) + (xy 152.501388 103.736647) + (xy 152.529326 103.877102) + (xy 152.584129 104.009408) + (xy 152.66369 104.12848) + (xy 152.764952 104.229742) + (xy 152.884024 104.309303) + (xy 153.01633 104.364106) + (xy 153.156785 104.392044) + (xy 153.299991 104.392044) + (xy 153.440446 104.364106) + (xy 153.572752 104.309303) + (xy 153.691824 104.229742) + (xy 153.793086 104.12848) + (xy 153.872647 104.009408) + (xy 153.92745 103.877102) + (xy 153.955388 103.736647) + (xy 153.955388 103.593441) + (xy 153.92745 103.452986) + (xy 153.872647 103.32068) + (xy 153.793086 103.201608) + (xy 153.755056 103.163578) + (xy 153.851698 103.066936) + (xy 153.931259 102.947864) + (xy 153.986062 102.815558) + (xy 154.014 102.675103) + (xy 154.014 102.531897) + (xy 153.986062 102.391442) + (xy 153.931259 102.259136) + (xy 153.858664 102.15049) + (xy 153.878823 102.15049) + (xy 154.019278 102.122552) + (xy 154.151584 102.067749) + (xy 154.207227 102.03057) + (xy 154.262869 102.067749) + (xy 154.395175 102.122552) + (xy 154.53563 102.15049) + (xy 154.678836 102.15049) + (xy 154.819291 102.122552) + (xy 154.951597 102.067749) + (xy 155.070669 101.988188) + (xy 155.171931 101.886926) + (xy 155.251492 101.767854) + (xy 155.306295 101.635548) + (xy 155.334233 101.495093) + (xy 155.334233 101.351887) + (xy 155.306295 101.211432) + (xy 155.251492 101.079126) + (xy 155.171931 100.960054) + (xy 155.070669 100.858792) + (xy 154.951597 100.779231) + (xy 154.819291 100.724428) + (xy 154.678836 100.69649) + (xy 154.53563 100.69649) + (xy 154.395175 100.724428) + (xy 154.262869 100.779231) + (xy 154.207226 100.81641) + (xy 154.151584 100.779231) + (xy 154.019278 100.724428) + (xy 153.878823 100.69649) + (xy 153.735617 100.69649) + (xy 153.595162 100.724428) + (xy 153.462856 100.779231) + (xy 153.343784 100.858792) + (xy 153.242522 100.960054) + (xy 153.162961 101.079126) + (xy 153.13211 101.153607) + (xy 153.101259 101.079126) + (xy 153.021698 100.960054) + (xy 152.920436 100.858792) + (xy 152.801364 100.779231) + (xy 152.669058 100.724428) + (xy 152.528603 100.69649) + (xy 152.385397 100.69649) + (xy 152.244942 100.724428) + (xy 152.112636 100.779231) + (xy 151.993564 100.858792) + (xy 151.892302 100.960054) + (xy 151.812741 101.079126) + (xy 151.757938 101.211432) + (xy 151.73 101.351887) + (xy 151.552116 101.351887) + (xy 151.568366 101.270197) + (xy 151.568366 101.126991) + (xy 151.540428 100.986536) + (xy 151.485625 100.85423) + (xy 151.406064 100.735158) + (xy 151.304802 100.633896) + (xy 151.18573 100.554335) + (xy 151.053424 100.499532) + (xy 151.037628 100.49639) + (xy 155.149524 100.49639) + (xy 155.194175 100.643599) + (xy 155.319359 100.90642) + (xy 155.493412 101.139769) + (xy 155.709645 101.334678) + (xy 155.820035 101.400434) + (xy 155.741 101.400434) + (xy 155.657293 101.408678) + (xy 155.576804 101.433095) + (xy 155.502624 101.472745) + (xy 155.437605 101.526105) + (xy 155.384245 101.591124) + (xy 155.344595 101.665304) + (xy 155.320178 101.745793) + (xy 155.311934 101.8295) + (xy 155.311934 103.5295) + (xy 155.320178 103.613207) + (xy 155.344595 103.693696) + (xy 155.384245 103.767876) + (xy 155.437605 103.832895) + (xy 155.502624 103.886255) + (xy 155.576804 103.925905) + (xy 155.657293 103.950322) + (xy 155.741 103.958566) + (xy 157.441 103.958566) + (xy 157.524707 103.950322) + (xy 157.605196 103.925905) + (xy 157.679376 103.886255) + (xy 157.744395 103.832895) + (xy 157.797755 103.767876) + (xy 157.837405 103.693696) + (xy 157.861822 103.613207) + (xy 157.870066 103.5295) + (xy 157.870066 101.8295) + (xy 157.861822 101.745793) + (xy 157.837405 101.665304) + (xy 157.797755 101.591124) + (xy 157.744395 101.526105) + (xy 157.679376 101.472745) + (xy 157.605196 101.433095) + (xy 157.524707 101.408678) + (xy 157.441 101.400434) + (xy 157.361965 101.400434) + (xy 157.472355 101.334678) + (xy 157.688588 101.139769) + (xy 157.862641 100.90642) + (xy 157.987825 100.643599) + (xy 158.032476 100.49639) + (xy 157.911155 100.2665) + (xy 156.718 100.2665) + (xy 156.718 100.2865) + (xy 156.464 100.2865) + (xy 156.464 100.2665) + (xy 155.270845 100.2665) + (xy 155.149524 100.49639) + (xy 151.037628 100.49639) + (xy 150.912969 100.471594) + (xy 150.769763 100.471594) + (xy 150.629308 100.499532) + (xy 150.497002 100.554335) + (xy 150.37793 100.633896) + (xy 150.276668 100.735158) + (xy 150.197107 100.85423) + (xy 150.142304 100.986536) + (xy 150.114366 101.126991) + (xy 149.628028 101.126991) + (xy 149.61303 101.090784) + (xy 149.533469 100.971712) + (xy 149.432207 100.87045) + (xy 149.313135 100.790889) + (xy 149.180829 100.736086) + (xy 149.040374 100.708148) + (xy 148.897168 100.708148) + (xy 148.756713 100.736086) + (xy 148.624407 100.790889) + (xy 148.505335 100.87045) + (xy 148.404073 100.971712) + (xy 148.324512 101.090784) + (xy 148.269709 101.22309) + (xy 148.241771 101.363545) + (xy 144.567738 101.363545) + (xy 144.531364 101.339241) + (xy 144.399058 101.284438) + (xy 144.258603 101.2565) + (xy 144.115397 101.2565) + (xy 143.974942 101.284438) + (xy 143.842636 101.339241) + (xy 143.723564 101.418802) + (xy 143.694 101.448366) + (xy 143.694 101.381897) + (xy 143.666062 101.241442) + (xy 143.611259 101.109136) + (xy 143.531698 100.990064) + (xy 143.430436 100.888802) + (xy 143.311364 100.809241) + (xy 143.179058 100.754438) + (xy 143.038603 100.7265) + (xy 142.895397 100.7265) + (xy 142.754942 100.754438) + (xy 142.622636 100.809241) + (xy 142.503564 100.888802) + (xy 142.402302 100.990064) + (xy 142.322741 101.109136) + (xy 142.267938 101.241442) + (xy 142.24 101.381897) + (xy 142.24 101.525103) + (xy 142.267938 101.665558) + (xy 142.322741 101.797864) + (xy 142.402302 101.916936) + (xy 142.503564 102.018198) + (xy 142.518167 102.027955) + (xy 142.442636 102.059241) + (xy 142.323564 102.138802) + (xy 142.222302 102.240064) + (xy 142.142741 102.359136) + (xy 142.087938 102.491442) + (xy 142.06 102.631897) + (xy 132.470066 102.631897) + (xy 132.470066 101.8295) + (xy 132.461822 101.745793) + (xy 132.437405 101.665304) + (xy 132.397755 101.591124) + (xy 132.344395 101.526105) + (xy 132.279376 101.472745) + (xy 132.259081 101.461897) + (xy 139 101.461897) + (xy 139 101.605103) + (xy 139.027938 101.745558) + (xy 139.082741 101.877864) + (xy 139.162302 101.996936) + (xy 139.263564 102.098198) + (xy 139.382636 102.177759) + (xy 139.514942 102.232562) + (xy 139.655397 102.2605) + (xy 139.798603 102.2605) + (xy 139.939058 102.232562) + (xy 140.071364 102.177759) + (xy 140.190436 102.098198) + (xy 140.291698 101.996936) + (xy 140.371259 101.877864) + (xy 140.426062 101.745558) + (xy 140.454 101.605103) + (xy 140.454 101.461897) + (xy 140.426062 101.321442) + (xy 140.371259 101.189136) + (xy 140.291698 101.070064) + (xy 140.190436 100.968802) + (xy 140.071364 100.889241) + (xy 139.939058 100.834438) + (xy 139.798603 100.8065) + (xy 139.655397 100.8065) + (xy 139.514942 100.834438) + (xy 139.382636 100.889241) + (xy 139.263564 100.968802) + (xy 139.162302 101.070064) + (xy 139.082741 101.189136) + (xy 139.027938 101.321442) + (xy 139 101.461897) + (xy 132.259081 101.461897) + (xy 132.205196 101.433095) + (xy 132.124707 101.408678) + (xy 132.041 101.400434) + (xy 131.961965 101.400434) + (xy 132.072355 101.334678) + (xy 132.288588 101.139769) + (xy 132.462641 100.90642) + (xy 132.587825 100.643599) + (xy 132.632476 100.49639) + (xy 132.511155 100.2665) + (xy 131.318 100.2665) + (xy 131.318 100.2865) + (xy 131.064 100.2865) + (xy 131.064 100.2665) + (xy 129.870845 100.2665) + (xy 129.749524 100.49639) + (xy 127.071 100.49639) + (xy 127.071 93.316355) + (xy 129.692271 93.316355) + (xy 129.692271 93.479259) + (xy 129.724053 93.639034) + (xy 129.786394 93.789538) + (xy 129.876899 93.924988) + (xy 129.99209 94.040179) + (xy 130.12754 94.130684) + (xy 130.259292 94.185257) + (xy 130.199089 94.24546) + (xy 130.059337 94.454614) + (xy 129.963074 94.687013) + (xy 129.914 94.933726) + (xy 129.914 95.185274) + (xy 129.963074 95.431987) + (xy 130.059337 95.664386) + (xy 130.199089 95.87354) + (xy 130.37696 96.051411) + (xy 130.586114 96.191163) + (xy 130.818513 96.287426) + (xy 131.030034 96.3295) + (xy 130.818513 96.371574) + (xy 130.586114 96.467837) + (xy 130.37696 96.607589) + (xy 130.199089 96.78546) + (xy 130.059337 96.994614) + (xy 129.963074 97.227013) + (xy 129.914 97.473726) + (xy 129.914 97.725274) + (xy 129.963074 97.971987) + (xy 130.059337 98.204386) + (xy 130.199089 98.41354) + (xy 130.37696 98.591411) + (xy 130.586114 98.731163) + (xy 130.657416 98.760697) + (xy 130.559748 98.795343) + (xy 130.309645 98.944322) + (xy 130.093412 99.139231) + (xy 129.919359 99.37258) + (xy 129.794175 99.635401) + (xy 129.749524 99.78261) + (xy 129.870845 100.0125) + (xy 131.064 100.0125) + (xy 131.064 99.9925) + (xy 131.318 99.9925) + (xy 131.318 100.0125) + (xy 132.511155 100.0125) + (xy 132.601188 99.841897) + (xy 135.43 99.841897) + (xy 135.43 99.985103) + (xy 135.457938 100.125558) + (xy 135.512741 100.257864) + (xy 135.592302 100.376936) + (xy 135.693564 100.478198) + (xy 135.812636 100.557759) + (xy 135.944942 100.612562) + (xy 136.085397 100.6405) + (xy 136.228603 100.6405) + (xy 136.369058 100.612562) + (xy 136.501364 100.557759) + (xy 136.620436 100.478198) + (xy 136.721698 100.376936) + (xy 136.801259 100.257864) + (xy 136.856062 100.125558) + (xy 136.884 99.985103) + (xy 136.884 99.841897) + (xy 136.872208 99.78261) + (xy 155.149524 99.78261) + (xy 155.270845 100.0125) + (xy 156.464 100.0125) + (xy 156.464 99.9925) + (xy 156.718 99.9925) + (xy 156.718 100.0125) + (xy 157.911155 100.0125) + (xy 158.032476 99.78261) + (xy 157.987825 99.635401) + (xy 157.862641 99.37258) + (xy 157.688588 99.139231) + (xy 157.472355 98.944322) + (xy 157.222252 98.795343) + (xy 157.124584 98.760697) + (xy 157.195886 98.731163) + (xy 157.40504 98.591411) + (xy 157.582911 98.41354) + (xy 157.722663 98.204386) + (xy 157.818926 97.971987) + (xy 157.868 97.725274) + (xy 157.868 97.473726) + (xy 157.818926 97.227013) + (xy 157.722663 96.994614) + (xy 157.582911 96.78546) + (xy 157.40504 96.607589) + (xy 157.195886 96.467837) + (xy 156.963487 96.371574) + (xy 156.751966 96.3295) + (xy 156.963487 96.287426) + (xy 157.195886 96.191163) + (xy 157.40504 96.051411) + (xy 157.582911 95.87354) + (xy 157.722663 95.664386) + (xy 157.818926 95.431987) + (xy 157.868 95.185274) + (xy 157.868 94.933726) + (xy 157.818926 94.687013) + (xy 157.722663 94.454614) + (xy 157.582911 94.24546) + (xy 157.40504 94.067589) + (xy 157.195886 93.927837) + (xy 156.963487 93.831574) + (xy 156.716774 93.7825) + (xy 156.465226 93.7825) + (xy 156.218513 93.831574) + (xy 155.986114 93.927837) + (xy 155.77696 94.067589) + (xy 155.599089 94.24546) + (xy 155.459337 94.454614) + (xy 155.363074 94.687013) + (xy 155.314 94.933726) + (xy 155.314 95.185274) + (xy 155.363074 95.431987) + (xy 155.459337 95.664386) + (xy 155.599089 95.87354) + (xy 155.77696 96.051411) + (xy 155.986114 96.191163) + (xy 156.218513 96.287426) + (xy 156.430034 96.3295) + (xy 156.218513 96.371574) + (xy 155.986114 96.467837) + (xy 155.77696 96.607589) + (xy 155.599089 96.78546) + (xy 155.459337 96.994614) + (xy 155.363074 97.227013) + (xy 155.314 97.473726) + (xy 155.314 97.725274) + (xy 155.363074 97.971987) + (xy 155.459337 98.204386) + (xy 155.599089 98.41354) + (xy 155.77696 98.591411) + (xy 155.986114 98.731163) + (xy 156.057416 98.760697) + (xy 155.959748 98.795343) + (xy 155.709645 98.944322) + (xy 155.493412 99.139231) + (xy 155.319359 99.37258) + (xy 155.194175 99.635401) + (xy 155.149524 99.78261) + (xy 136.872208 99.78261) + (xy 136.856062 99.701442) + (xy 136.801259 99.569136) + (xy 136.721698 99.450064) + (xy 136.620436 99.348802) + (xy 136.501364 99.269241) + (xy 136.369058 99.214438) + (xy 136.228603 99.1865) + (xy 136.085397 99.1865) + (xy 135.944942 99.214438) + (xy 135.812636 99.269241) + (xy 135.693564 99.348802) + (xy 135.592302 99.450064) + (xy 135.512741 99.569136) + (xy 135.457938 99.701442) + (xy 135.43 99.841897) + (xy 132.601188 99.841897) + (xy 132.632476 99.78261) + (xy 132.587825 99.635401) + (xy 132.462641 99.37258) + (xy 132.288588 99.139231) + (xy 132.072355 98.944322) + (xy 131.822252 98.795343) + (xy 131.724584 98.760697) + (xy 131.795886 98.731163) + (xy 132.00504 98.591411) + (xy 132.182911 98.41354) + (xy 132.322663 98.204386) + (xy 132.33612 98.171897) + (xy 135.22 98.171897) + (xy 135.22 98.315103) + (xy 135.247938 98.455558) + (xy 135.302741 98.587864) + (xy 135.382302 98.706936) + (xy 135.483564 98.808198) + (xy 135.602636 98.887759) + (xy 135.734942 98.942562) + (xy 135.875397 98.9705) + (xy 136.018603 98.9705) + (xy 136.159058 98.942562) + (xy 136.291364 98.887759) + (xy 136.410436 98.808198) + (xy 136.511698 98.706936) + (xy 136.591259 98.587864) + (xy 136.646062 98.455558) + (xy 136.674 98.315103) + (xy 136.674 98.171897) + (xy 136.660077 98.101897) + (xy 138.164002 98.101897) + (xy 138.164002 98.245103) + (xy 138.19194 98.385558) + (xy 138.246743 98.517864) + (xy 138.326304 98.636936) + (xy 138.427566 98.738198) + (xy 138.546638 98.817759) + (xy 138.678944 98.872562) + (xy 138.819399 98.9005) + (xy 138.962605 98.9005) + (xy 139.10306 98.872562) + (xy 139.235366 98.817759) + (xy 139.354438 98.738198) + (xy 139.4557 98.636936) + (xy 139.535261 98.517864) + (xy 139.590064 98.385558) + (xy 139.618002 98.245103) + (xy 139.618002 98.101897) + (xy 139.594041 97.981434) + (xy 141.120994 97.981434) + (xy 141.120994 98.12464) + (xy 141.148932 98.265095) + (xy 141.203735 98.397401) + (xy 141.283296 98.516473) + (xy 141.384558 98.617735) + (xy 141.50363 98.697296) + (xy 141.635936 98.752099) + (xy 141.776391 98.780037) + (xy 141.919597 98.780037) + (xy 142.060052 98.752099) + (xy 142.192358 98.697296) + (xy 142.31143 98.617735) + (xy 142.412692 98.516473) + (xy 142.492253 98.397401) + (xy 142.547056 98.265095) + (xy 142.569572 98.151897) + (xy 145.27 98.151897) + (xy 145.27 98.295103) + (xy 145.297938 98.435558) + (xy 145.352741 98.567864) + (xy 145.432302 98.686936) + (xy 145.533564 98.788198) + (xy 145.652636 98.867759) + (xy 145.784942 98.922562) + (xy 145.925397 98.9505) + (xy 146.068603 98.9505) + (xy 146.209058 98.922562) + (xy 146.234805 98.911897) + (xy 153.21 98.911897) + (xy 153.21 99.055103) + (xy 153.237938 99.195558) + (xy 153.292741 99.327864) + (xy 153.372302 99.446936) + (xy 153.473564 99.548198) + (xy 153.592636 99.627759) + (xy 153.724942 99.682562) + (xy 153.865397 99.7105) + (xy 154.008603 99.7105) + (xy 154.149058 99.682562) + (xy 154.281364 99.627759) + (xy 154.400436 99.548198) + (xy 154.501698 99.446936) + (xy 154.581259 99.327864) + (xy 154.636062 99.195558) + (xy 154.664 99.055103) + (xy 154.664 98.911897) + (xy 154.636062 98.771442) + (xy 154.581259 98.639136) + (xy 154.501698 98.520064) + (xy 154.400436 98.418802) + (xy 154.281364 98.339241) + (xy 154.149058 98.284438) + (xy 154.008603 98.2565) + (xy 153.865397 98.2565) + (xy 153.724942 98.284438) + (xy 153.592636 98.339241) + (xy 153.473564 98.418802) + (xy 153.372302 98.520064) + (xy 153.292741 98.639136) + (xy 153.237938 98.771442) + (xy 153.21 98.911897) + (xy 146.234805 98.911897) + (xy 146.341364 98.867759) + (xy 146.460436 98.788198) + (xy 146.561698 98.686936) + (xy 146.641259 98.567864) + (xy 146.696062 98.435558) + (xy 146.724 98.295103) + (xy 146.724 98.151897) + (xy 146.696062 98.011442) + (xy 146.641259 97.879136) + (xy 146.561698 97.760064) + (xy 146.460436 97.658802) + (xy 146.341364 97.579241) + (xy 146.209058 97.524438) + (xy 146.068603 97.4965) + (xy 145.925397 97.4965) + (xy 145.784942 97.524438) + (xy 145.652636 97.579241) + (xy 145.533564 97.658802) + (xy 145.432302 97.760064) + (xy 145.352741 97.879136) + (xy 145.297938 98.011442) + (xy 145.27 98.151897) + (xy 142.569572 98.151897) + (xy 142.574994 98.12464) + (xy 142.574994 97.981434) + (xy 142.547056 97.840979) + (xy 142.492253 97.708673) + (xy 142.471395 97.677457) + (xy 142.531259 97.587864) + (xy 142.586062 97.455558) + (xy 142.614 97.315103) + (xy 142.614 97.171897) + (xy 142.586062 97.031442) + (xy 142.531259 96.899136) + (xy 142.451698 96.780064) + (xy 142.350436 96.678802) + (xy 142.236823 96.602888) + (xy 147.212443 96.602888) + (xy 147.212443 96.746094) + (xy 147.240381 96.886549) + (xy 147.295184 97.018855) + (xy 147.374745 97.137927) + (xy 147.476007 97.239189) + (xy 147.595079 97.31875) + (xy 147.727385 97.373553) + (xy 147.86784 97.401491) + (xy 148.011046 97.401491) + (xy 148.151501 97.373553) + (xy 148.283807 97.31875) + (xy 148.402879 97.239189) + (xy 148.504141 97.137927) + (xy 148.583702 97.018855) + (xy 148.623195 96.92351) + (xy 148.692302 97.026936) + (xy 148.793564 97.128198) + (xy 148.864572 97.175644) + (xy 148.818603 97.1665) + (xy 148.675397 97.1665) + (xy 148.534942 97.194438) + (xy 148.402636 97.249241) + (xy 148.283564 97.328802) + (xy 148.182302 97.430064) + (xy 148.102741 97.549136) + (xy 148.047938 97.681442) + (xy 148.02 97.821897) + (xy 148.02 97.965103) + (xy 148.047938 98.105558) + (xy 148.102741 98.237864) + (xy 148.182302 98.356936) + (xy 148.283564 98.458198) + (xy 148.402636 98.537759) + (xy 148.534942 98.592562) + (xy 148.675397 98.6205) + (xy 148.818603 98.6205) + (xy 148.959058 98.592562) + (xy 149.091364 98.537759) + (xy 149.210436 98.458198) + (xy 149.311698 98.356936) + (xy 149.391259 98.237864) + (xy 149.446062 98.105558) + (xy 149.474 97.965103) + (xy 149.474 97.821897) + (xy 149.446062 97.681442) + (xy 149.391259 97.549136) + (xy 149.311698 97.430064) + (xy 149.210436 97.328802) + (xy 149.139428 97.281356) + (xy 149.185397 97.2905) + (xy 149.328603 97.2905) + (xy 149.469058 97.262562) + (xy 149.601364 97.207759) + (xy 149.640069 97.181897) + (xy 150.29 97.181897) + (xy 150.29 97.325103) + (xy 150.317938 97.465558) + (xy 150.372741 97.597864) + (xy 150.452302 97.716936) + (xy 150.553564 97.818198) + (xy 150.672636 97.897759) + (xy 150.804942 97.952562) + (xy 150.945397 97.9805) + (xy 151.088603 97.9805) + (xy 151.229058 97.952562) + (xy 151.361364 97.897759) + (xy 151.480436 97.818198) + (xy 151.581698 97.716936) + (xy 151.661259 97.597864) + (xy 151.716062 97.465558) + (xy 151.744 97.325103) + (xy 151.744 97.203048) + (xy 151.749507 97.203048) + (xy 151.889962 97.17511) + (xy 152.022268 97.120307) + (xy 152.14134 97.040746) + (xy 152.242602 96.939484) + (xy 152.322163 96.820412) + (xy 152.376966 96.688106) + (xy 152.404904 96.547651) + (xy 152.404904 96.462181) + (xy 153.398231 96.462181) + (xy 153.398231 96.605387) + (xy 153.426169 96.745842) + (xy 153.480972 96.878148) + (xy 153.560533 96.99722) + (xy 153.644579 97.081266) + (xy 153.627938 97.121442) + (xy 153.6 97.261897) + (xy 153.6 97.405103) + (xy 153.627938 97.545558) + (xy 153.682741 97.677864) + (xy 153.762302 97.796936) + (xy 153.863564 97.898198) + (xy 153.982636 97.977759) + (xy 154.114942 98.032562) + (xy 154.255397 98.0605) + (xy 154.398603 98.0605) + (xy 154.539058 98.032562) + (xy 154.671364 97.977759) + (xy 154.790436 97.898198) + (xy 154.891698 97.796936) + (xy 154.971259 97.677864) + (xy 155.026062 97.545558) + (xy 155.054 97.405103) + (xy 155.054 97.261897) + (xy 155.026062 97.121442) + (xy 154.971259 96.989136) + (xy 154.891698 96.870064) + (xy 154.807652 96.786018) + (xy 154.824293 96.745842) + (xy 154.852231 96.605387) + (xy 154.852231 96.462181) + (xy 154.824293 96.321726) + (xy 154.76949 96.18942) + (xy 154.689929 96.070348) + (xy 154.588667 95.969086) + (xy 154.469595 95.889525) + (xy 154.337289 95.834722) + (xy 154.196834 95.806784) + (xy 154.053628 95.806784) + (xy 153.913173 95.834722) + (xy 153.780867 95.889525) + (xy 153.661795 95.969086) + (xy 153.560533 96.070348) + (xy 153.480972 96.18942) + (xy 153.426169 96.321726) + (xy 153.398231 96.462181) + (xy 152.404904 96.462181) + (xy 152.404904 96.404445) + (xy 152.376966 96.26399) + (xy 152.322163 96.131684) + (xy 152.242602 96.012612) + (xy 152.14134 95.91135) + (xy 152.022268 95.831789) + (xy 151.889962 95.776986) + (xy 151.749507 95.749048) + (xy 151.606301 95.749048) + (xy 151.465846 95.776986) + (xy 151.33354 95.831789) + (xy 151.214468 95.91135) + (xy 151.113206 96.012612) + (xy 151.033645 96.131684) + (xy 150.978842 96.26399) + (xy 150.950904 96.404445) + (xy 150.950904 96.5265) + (xy 150.945397 96.5265) + (xy 150.804942 96.554438) + (xy 150.672636 96.609241) + (xy 150.553564 96.688802) + (xy 150.452302 96.790064) + (xy 150.372741 96.909136) + (xy 150.317938 97.041442) + (xy 150.29 97.181897) + (xy 149.640069 97.181897) + (xy 149.720436 97.128198) + (xy 149.821698 97.026936) + (xy 149.901259 96.907864) + (xy 149.956062 96.775558) + (xy 149.984 96.635103) + (xy 149.984 96.491897) + (xy 149.956062 96.351442) + (xy 149.901259 96.219136) + (xy 149.821698 96.100064) + (xy 149.720436 95.998802) + (xy 149.601364 95.919241) + (xy 149.469058 95.864438) + (xy 149.328603 95.8365) + (xy 149.185397 95.8365) + (xy 149.044942 95.864438) + (xy 148.912636 95.919241) + (xy 148.793564 95.998802) + (xy 148.692302 96.100064) + (xy 148.612741 96.219136) + (xy 148.573248 96.314481) + (xy 148.504141 96.211055) + (xy 148.402879 96.109793) + (xy 148.283807 96.030232) + (xy 148.151501 95.975429) + (xy 148.011046 95.947491) + (xy 147.86784 95.947491) + (xy 147.727385 95.975429) + (xy 147.595079 96.030232) + (xy 147.476007 96.109793) + (xy 147.374745 96.211055) + (xy 147.295184 96.330127) + (xy 147.240381 96.462433) + (xy 147.212443 96.602888) + (xy 142.236823 96.602888) + (xy 142.231364 96.599241) + (xy 142.099058 96.544438) + (xy 141.958603 96.5165) + (xy 141.815397 96.5165) + (xy 141.674942 96.544438) + (xy 141.542636 96.599241) + (xy 141.423564 96.678802) + (xy 141.322302 96.780064) + (xy 141.242741 96.899136) + (xy 141.187938 97.031442) + (xy 141.16 97.171897) + (xy 141.16 97.315103) + (xy 141.187938 97.455558) + (xy 141.242741 97.587864) + (xy 141.263599 97.61908) + (xy 141.203735 97.708673) + (xy 141.148932 97.840979) + (xy 141.120994 97.981434) + (xy 139.594041 97.981434) + (xy 139.590064 97.961442) + (xy 139.535261 97.829136) + (xy 139.4557 97.710064) + (xy 139.354438 97.608802) + (xy 139.235366 97.529241) + (xy 139.10306 97.474438) + (xy 138.962605 97.4465) + (xy 138.819399 97.4465) + (xy 138.678944 97.474438) + (xy 138.546638 97.529241) + (xy 138.427566 97.608802) + (xy 138.326304 97.710064) + (xy 138.246743 97.829136) + (xy 138.19194 97.961442) + (xy 138.164002 98.101897) + (xy 136.660077 98.101897) + (xy 136.646062 98.031442) + (xy 136.591259 97.899136) + (xy 136.511698 97.780064) + (xy 136.410436 97.678802) + (xy 136.291364 97.599241) + (xy 136.159058 97.544438) + (xy 136.018603 97.5165) + (xy 135.875397 97.5165) + (xy 135.734942 97.544438) + (xy 135.602636 97.599241) + (xy 135.483564 97.678802) + (xy 135.382302 97.780064) + (xy 135.302741 97.899136) + (xy 135.247938 98.031442) + (xy 135.22 98.171897) + (xy 132.33612 98.171897) + (xy 132.418926 97.971987) + (xy 132.468 97.725274) + (xy 132.468 97.473726) + (xy 132.418926 97.227013) + (xy 132.322663 96.994614) + (xy 132.182911 96.78546) + (xy 132.00504 96.607589) + (xy 131.795886 96.467837) + (xy 131.563487 96.371574) + (xy 131.351966 96.3295) + (xy 131.563487 96.287426) + (xy 131.795886 96.191163) + (xy 132.00504 96.051411) + (xy 132.182911 95.87354) + (xy 132.322663 95.664386) + (xy 132.389968 95.501897) + (xy 142.1 95.501897) + (xy 142.1 95.645103) + (xy 142.127938 95.785558) + (xy 142.182741 95.917864) + (xy 142.262302 96.036936) + (xy 142.363564 96.138198) + (xy 142.482636 96.217759) + (xy 142.614942 96.272562) + (xy 142.755397 96.3005) + (xy 142.898603 96.3005) + (xy 143.039058 96.272562) + (xy 143.171364 96.217759) + (xy 143.290436 96.138198) + (xy 143.391698 96.036936) + (xy 143.471259 95.917864) + (xy 143.526062 95.785558) + (xy 143.554 95.645103) + (xy 143.554 95.501897) + (xy 143.526062 95.361442) + (xy 143.471259 95.229136) + (xy 143.391698 95.110064) + (xy 143.290436 95.008802) + (xy 143.171364 94.929241) + (xy 143.039058 94.874438) + (xy 142.898603 94.8465) + (xy 142.755397 94.8465) + (xy 142.614942 94.874438) + (xy 142.482636 94.929241) + (xy 142.363564 95.008802) + (xy 142.262302 95.110064) + (xy 142.182741 95.229136) + (xy 142.127938 95.361442) + (xy 142.1 95.501897) + (xy 132.389968 95.501897) + (xy 132.418926 95.431987) + (xy 132.463074 95.210038) + (xy 132.465397 95.2105) + (xy 132.608603 95.2105) + (xy 132.749058 95.182562) + (xy 132.881364 95.127759) + (xy 133.000436 95.048198) + (xy 133.101698 94.946936) + (xy 133.181259 94.827864) + (xy 133.236062 94.695558) + (xy 133.264 94.555103) + (xy 133.264 94.411897) + (xy 133.236062 94.271442) + (xy 133.181259 94.139136) + (xy 133.101698 94.020064) + (xy 133.000436 93.918802) + (xy 132.881364 93.839241) + (xy 132.749058 93.784438) + (xy 132.608603 93.7565) + (xy 132.465397 93.7565) + (xy 132.324942 93.784438) + (xy 132.192636 93.839241) + (xy 132.073564 93.918802) + (xy 131.972302 94.020064) + (xy 131.960453 94.037797) + (xy 131.795886 93.927837) + (xy 131.563487 93.831574) + (xy 131.316774 93.7825) + (xy 131.255063 93.7825) + (xy 131.296734 93.681897) + (xy 133.34 93.681897) + (xy 133.34 93.825103) + (xy 133.367938 93.965558) + (xy 133.422741 94.097864) + (xy 133.502302 94.216936) + (xy 133.603564 94.318198) + (xy 133.722636 94.397759) + (xy 133.854942 94.452562) + (xy 133.995397 94.4805) + (xy 134.138603 94.4805) + (xy 134.279058 94.452562) + (xy 134.411364 94.397759) + (xy 134.530436 94.318198) + (xy 134.631698 94.216936) + (xy 134.699003 94.116206) + (xy 135.887761 94.116206) + (xy 135.887761 94.259412) + (xy 135.915699 94.399867) + (xy 135.970502 94.532173) + (xy 136.050063 94.651245) + (xy 136.151325 94.752507) + (xy 136.270397 94.832068) + (xy 136.402703 94.886871) + (xy 136.543158 94.914809) + (xy 136.686364 94.914809) + (xy 136.826819 94.886871) + (xy 136.959125 94.832068) + (xy 137.078197 94.752507) + (xy 137.179459 94.651245) + (xy 137.25902 94.532173) + (xy 137.313823 94.399867) + (xy 137.341761 94.259412) + (xy 137.341761 94.116206) + (xy 137.338918 94.101911) + (xy 137.362636 94.117759) + (xy 137.494942 94.172562) + (xy 137.635397 94.2005) + (xy 137.778603 94.2005) + (xy 137.919058 94.172562) + (xy 138.051364 94.117759) + (xy 138.170436 94.038198) + (xy 138.271698 93.936936) + (xy 138.351259 93.817864) + (xy 138.406062 93.685558) + (xy 138.434 93.545103) + (xy 138.434 93.401897) + (xy 138.406062 93.261442) + (xy 138.384712 93.209897) + (xy 156.87999 93.209897) + (xy 156.87999 93.353103) + (xy 156.907928 93.493558) + (xy 156.962731 93.625864) + (xy 157.042292 93.744936) + (xy 157.143554 93.846198) + (xy 157.262626 93.925759) + (xy 157.394932 93.980562) + (xy 157.535387 94.0085) + (xy 157.678593 94.0085) + (xy 157.819048 93.980562) + (xy 157.951354 93.925759) + (xy 158.070426 93.846198) + (xy 158.171688 93.744936) + (xy 158.251249 93.625864) + (xy 158.306052 93.493558) + (xy 158.33399 93.353103) + (xy 158.33399 93.209897) + (xy 158.306052 93.069442) + (xy 158.251249 92.937136) + (xy 158.171688 92.818064) + (xy 158.070426 92.716802) + (xy 157.951354 92.637241) + (xy 157.819048 92.582438) + (xy 157.678593 92.5545) + (xy 157.535387 92.5545) + (xy 157.394932 92.582438) + (xy 157.262626 92.637241) + (xy 157.143554 92.716802) + (xy 157.042292 92.818064) + (xy 156.962731 92.937136) + (xy 156.907928 93.069442) + (xy 156.87999 93.209897) + (xy 138.384712 93.209897) + (xy 138.351259 93.129136) + (xy 138.271698 93.010064) + (xy 138.170436 92.908802) + (xy 138.051364 92.829241) + (xy 137.919058 92.774438) + (xy 137.778603 92.7465) + (xy 137.635397 92.7465) + (xy 137.494942 92.774438) + (xy 137.362636 92.829241) + (xy 137.243564 92.908802) + (xy 137.142302 93.010064) + (xy 137.062741 93.129136) + (xy 137.007938 93.261442) + (xy 136.98 93.401897) + (xy 136.98 93.545103) + (xy 136.982843 93.559398) + (xy 136.959125 93.54355) + (xy 136.826819 93.488747) + (xy 136.686364 93.460809) + (xy 136.543158 93.460809) + (xy 136.402703 93.488747) + (xy 136.270397 93.54355) + (xy 136.151325 93.623111) + (xy 136.050063 93.724373) + (xy 135.970502 93.843445) + (xy 135.915699 93.975751) + (xy 135.887761 94.116206) + (xy 134.699003 94.116206) + (xy 134.711259 94.097864) + (xy 134.766062 93.965558) + (xy 134.794 93.825103) + (xy 134.794 93.681897) + (xy 134.766062 93.541442) + (xy 134.711259 93.409136) + (xy 134.631698 93.290064) + (xy 134.530436 93.188802) + (xy 134.411364 93.109241) + (xy 134.279058 93.054438) + (xy 134.138603 93.0265) + (xy 133.995397 93.0265) + (xy 133.854942 93.054438) + (xy 133.722636 93.109241) + (xy 133.603564 93.188802) + (xy 133.502302 93.290064) + (xy 133.422741 93.409136) + (xy 133.367938 93.541442) + (xy 133.34 93.681897) + (xy 131.296734 93.681897) + (xy 131.314489 93.639034) + (xy 131.346271 93.479259) + (xy 131.346271 93.316355) + (xy 131.314489 93.15658) + (xy 131.252148 93.006076) + (xy 131.161643 92.870626) + (xy 131.046452 92.755435) + (xy 130.911002 92.66493) + (xy 130.760498 92.602589) + (xy 130.600723 92.570807) + (xy 130.437819 92.570807) + (xy 130.278044 92.602589) + (xy 130.12754 92.66493) + (xy 129.99209 92.755435) + (xy 129.876899 92.870626) + (xy 129.786394 93.006076) + (xy 129.724053 93.15658) + (xy 129.692271 93.316355) + (xy 127.071 93.316355) + (xy 127.071 91.931897) + (xy 143.25601 91.931897) + (xy 143.25601 92.075103) + (xy 143.283948 92.215558) + (xy 143.338751 92.347864) + (xy 143.418312 92.466936) + (xy 143.519574 92.568198) + (xy 143.638646 92.647759) + (xy 143.770952 92.702562) + (xy 143.911407 92.7305) + (xy 144.054613 92.7305) + (xy 144.195068 92.702562) + (xy 144.327374 92.647759) + (xy 144.446446 92.568198) + (xy 144.547708 92.466936) + (xy 144.627269 92.347864) + (xy 144.682072 92.215558) + (xy 144.71001 92.075103) + (xy 144.71001 91.96498) + (xy 145.474886 91.96498) + (xy 145.474886 92.108186) + (xy 145.502824 92.248641) + (xy 145.557627 92.380947) + (xy 145.637188 92.500019) + (xy 145.73845 92.601281) + (xy 145.857522 92.680842) + (xy 145.989828 92.735645) + (xy 146.130283 92.763583) + (xy 146.273489 92.763583) + (xy 146.413944 92.735645) + (xy 146.54625 92.680842) + (xy 146.665322 92.601281) + (xy 146.766584 92.500019) + (xy 146.846145 92.380947) + (xy 146.900948 92.248641) + (xy 146.920191 92.151897) + (xy 150.81 92.151897) + (xy 150.81 92.295103) + (xy 150.837938 92.435558) + (xy 150.892741 92.567864) + (xy 150.972302 92.686936) + (xy 151.073564 92.788198) + (xy 151.192636 92.867759) + (xy 151.324942 92.922562) + (xy 151.465397 92.9505) + (xy 151.608603 92.9505) + (xy 151.749058 92.922562) + (xy 151.881364 92.867759) + (xy 152.000436 92.788198) + (xy 152.101698 92.686936) + (xy 152.181259 92.567864) + (xy 152.236062 92.435558) + (xy 152.264 92.295103) + (xy 152.264 92.151897) + (xy 152.236062 92.011442) + (xy 152.181259 91.879136) + (xy 152.101698 91.760064) + (xy 152.000436 91.658802) + (xy 151.881364 91.579241) + (xy 151.749058 91.524438) + (xy 151.608603 91.4965) + (xy 151.465397 91.4965) + (xy 151.324942 91.524438) + (xy 151.192636 91.579241) + (xy 151.073564 91.658802) + (xy 150.972302 91.760064) + (xy 150.892741 91.879136) + (xy 150.837938 92.011442) + (xy 150.81 92.151897) + (xy 146.920191 92.151897) + (xy 146.928886 92.108186) + (xy 146.928886 91.96498) + (xy 146.900948 91.824525) + (xy 146.846145 91.692219) + (xy 146.766584 91.573147) + (xy 146.665322 91.471885) + (xy 146.54625 91.392324) + (xy 146.413944 91.337521) + (xy 146.273489 91.309583) + (xy 146.130283 91.309583) + (xy 145.989828 91.337521) + (xy 145.857522 91.392324) + (xy 145.73845 91.471885) + (xy 145.637188 91.573147) + (xy 145.557627 91.692219) + (xy 145.502824 91.824525) + (xy 145.474886 91.96498) + (xy 144.71001 91.96498) + (xy 144.71001 91.931897) + (xy 144.682072 91.791442) + (xy 144.627269 91.659136) + (xy 144.547708 91.540064) + (xy 144.446446 91.438802) + (xy 144.327374 91.359241) + (xy 144.195068 91.304438) + (xy 144.054613 91.2765) + (xy 143.911407 91.2765) + (xy 143.770952 91.304438) + (xy 143.638646 91.359241) + (xy 143.519574 91.438802) + (xy 143.418312 91.540064) + (xy 143.338751 91.659136) + (xy 143.283948 91.791442) + (xy 143.25601 91.931897) + (xy 127.071 91.931897) + (xy 127.071 91.101897) + (xy 157.86 91.101897) + (xy 157.86 91.245103) + (xy 157.887938 91.385558) + (xy 157.942741 91.517864) + (xy 158.022302 91.636936) + (xy 158.123564 91.738198) + (xy 158.242636 91.817759) + (xy 158.374942 91.872562) + (xy 158.515397 91.9005) + (xy 158.658603 91.9005) + (xy 158.799058 91.872562) + (xy 158.931364 91.817759) + (xy 159.050436 91.738198) + (xy 159.151698 91.636936) + (xy 159.231259 91.517864) + (xy 159.286062 91.385558) + (xy 159.314 91.245103) + (xy 159.314 91.101897) + (xy 159.286062 90.961442) + (xy 159.231259 90.829136) + (xy 159.151698 90.710064) + (xy 159.050436 90.608802) + (xy 158.931364 90.529241) + (xy 158.799058 90.474438) + (xy 158.658603 90.4465) + (xy 158.515397 90.4465) + (xy 158.374942 90.474438) + (xy 158.242636 90.529241) + (xy 158.123564 90.608802) + (xy 158.022302 90.710064) + (xy 157.942741 90.829136) + (xy 157.887938 90.961442) + (xy 157.86 91.101897) + (xy 127.071 91.101897) + (xy 127.071 89.009048) + (xy 130.364 89.009048) + (xy 130.364 89.171952) + (xy 130.395782 89.331727) + (xy 130.458123 89.482231) + (xy 130.548628 89.617681) + (xy 130.663819 89.732872) + (xy 130.799269 89.823377) + (xy 130.949773 89.885718) + (xy 131.109548 89.9175) + (xy 131.272452 89.9175) + (xy 131.432227 89.885718) + (xy 131.582731 89.823377) + (xy 131.718181 89.732872) + (xy 131.833372 89.617681) + (xy 131.923877 89.482231) + (xy 131.986218 89.331727) + (xy 131.992151 89.301897) + (xy 135.41 89.301897) + (xy 135.41 89.445103) + (xy 135.437938 89.585558) + (xy 135.492741 89.717864) + (xy 135.572302 89.836936) + (xy 135.673564 89.938198) + (xy 135.792636 90.017759) + (xy 135.924942 90.072562) + (xy 136.065397 90.1005) + (xy 136.208603 90.1005) + (xy 136.349058 90.072562) + (xy 136.481364 90.017759) + (xy 136.600436 89.938198) + (xy 136.701698 89.836936) + (xy 136.781259 89.717864) + (xy 136.836062 89.585558) + (xy 136.864 89.445103) + (xy 136.864 89.301897) + (xy 136.836062 89.161442) + (xy 136.781259 89.029136) + (xy 136.701698 88.910064) + (xy 136.600436 88.808802) + (xy 136.481364 88.729241) + (xy 136.349058 88.674438) + (xy 136.208603 88.6465) + (xy 136.065397 88.6465) + (xy 135.924942 88.674438) + (xy 135.792636 88.729241) + (xy 135.673564 88.808802) + (xy 135.572302 88.910064) + (xy 135.492741 89.029136) + (xy 135.437938 89.161442) + (xy 135.41 89.301897) + (xy 131.992151 89.301897) + (xy 132.018 89.171952) + (xy 132.018 89.009048) + (xy 131.986218 88.849273) + (xy 131.923877 88.698769) + (xy 131.833372 88.563319) + (xy 131.718181 88.448128) + (xy 131.582731 88.357623) + (xy 131.432227 88.295282) + (xy 131.272452 88.2635) + (xy 131.109548 88.2635) + (xy 130.949773 88.295282) + (xy 130.799269 88.357623) + (xy 130.663819 88.448128) + (xy 130.548628 88.563319) + (xy 130.458123 88.698769) + (xy 130.395782 88.849273) + (xy 130.364 89.009048) + (xy 127.071 89.009048) + (xy 127.071 87.375241) + (xy 132.338113 87.375241) + (xy 132.338113 87.518447) + (xy 132.366051 87.658902) + (xy 132.420854 87.791208) + (xy 132.500415 87.91028) + (xy 132.601677 88.011542) + (xy 132.720749 88.091103) + (xy 132.853055 88.145906) + (xy 132.99351 88.173844) + (xy 133.136716 88.173844) + (xy 133.277171 88.145906) + (xy 133.409477 88.091103) + (xy 133.528549 88.011542) + (xy 133.629811 87.91028) + (xy 133.709372 87.791208) + (xy 133.746365 87.701897) + (xy 138.62 87.701897) + (xy 138.62 87.845103) + (xy 138.647938 87.985558) + (xy 138.702741 88.117864) + (xy 138.782302 88.236936) + (xy 138.883564 88.338198) + (xy 139.002636 88.417759) + (xy 139.134942 88.472562) + (xy 139.275397 88.5005) + (xy 139.418603 88.5005) + (xy 139.559058 88.472562) + (xy 139.691364 88.417759) + (xy 139.810436 88.338198) + (xy 139.911698 88.236936) + (xy 139.955341 88.17162) + (xy 139.992302 88.226936) + (xy 140.093564 88.328198) + (xy 140.212636 88.407759) + (xy 140.344942 88.462562) + (xy 140.485397 88.4905) + (xy 140.628603 88.4905) + (xy 140.769058 88.462562) + (xy 140.901364 88.407759) + (xy 140.940069 88.381897) + (xy 144.13 88.381897) + (xy 144.13 88.525103) + (xy 144.157938 88.665558) + (xy 144.212741 88.797864) + (xy 144.292302 88.916936) + (xy 144.393564 89.018198) + (xy 144.512636 89.097759) + (xy 144.644942 89.152562) + (xy 144.785397 89.1805) + (xy 144.928603 89.1805) + (xy 145.069058 89.152562) + (xy 145.201364 89.097759) + (xy 145.320436 89.018198) + (xy 145.421698 88.916936) + (xy 145.501259 88.797864) + (xy 145.556062 88.665558) + (xy 145.584 88.525103) + (xy 145.584 88.381897) + (xy 145.556062 88.241442) + (xy 145.501259 88.109136) + (xy 145.421698 87.990064) + (xy 145.320436 87.888802) + (xy 145.235287 87.831907) + (xy 146.27 87.831907) + (xy 146.27 87.975113) + (xy 146.297938 88.115568) + (xy 146.352741 88.247874) + (xy 146.432302 88.366946) + (xy 146.533564 88.468208) + (xy 146.652636 88.547769) + (xy 146.784942 88.602572) + (xy 146.925397 88.63051) + (xy 147.068603 88.63051) + (xy 147.209058 88.602572) + (xy 147.258971 88.581897) + (xy 148.07 88.581897) + (xy 148.07 88.725103) + (xy 148.097938 88.865558) + (xy 148.152741 88.997864) + (xy 148.232302 89.116936) + (xy 148.333564 89.218198) + (xy 148.452636 89.297759) + (xy 148.584942 89.352562) + (xy 148.725397 89.3805) + (xy 148.868603 89.3805) + (xy 149.009058 89.352562) + (xy 149.141364 89.297759) + (xy 149.260436 89.218198) + (xy 149.361698 89.116936) + (xy 149.365064 89.111897) + (xy 158.01 89.111897) + (xy 158.01 89.255103) + (xy 158.037938 89.395558) + (xy 158.092741 89.527864) + (xy 158.172302 89.646936) + (xy 158.273564 89.748198) + (xy 158.392636 89.827759) + (xy 158.524942 89.882562) + (xy 158.665397 89.9105) + (xy 158.808603 89.9105) + (xy 158.949058 89.882562) + (xy 159.081364 89.827759) + (xy 159.200436 89.748198) + (xy 159.301698 89.646936) + (xy 159.381259 89.527864) + (xy 159.436062 89.395558) + (xy 159.464 89.255103) + (xy 159.464 89.111897) + (xy 159.436062 88.971442) + (xy 159.381259 88.839136) + (xy 159.301698 88.720064) + (xy 159.200436 88.618802) + (xy 159.081364 88.539241) + (xy 158.949058 88.484438) + (xy 158.808603 88.4565) + (xy 158.665397 88.4565) + (xy 158.524942 88.484438) + (xy 158.392636 88.539241) + (xy 158.273564 88.618802) + (xy 158.172302 88.720064) + (xy 158.092741 88.839136) + (xy 158.037938 88.971442) + (xy 158.01 89.111897) + (xy 149.365064 89.111897) + (xy 149.441259 88.997864) + (xy 149.496062 88.865558) + (xy 149.524 88.725103) + (xy 149.524 88.581897) + (xy 149.496062 88.441442) + (xy 149.441259 88.309136) + (xy 149.361698 88.190064) + (xy 149.260436 88.088802) + (xy 149.141364 88.009241) + (xy 149.009058 87.954438) + (xy 148.868603 87.9265) + (xy 148.725397 87.9265) + (xy 148.584942 87.954438) + (xy 148.452636 88.009241) + (xy 148.333564 88.088802) + (xy 148.232302 88.190064) + (xy 148.152741 88.309136) + (xy 148.097938 88.441442) + (xy 148.07 88.581897) + (xy 147.258971 88.581897) + (xy 147.341364 88.547769) + (xy 147.460436 88.468208) + (xy 147.561698 88.366946) + (xy 147.641259 88.247874) + (xy 147.696062 88.115568) + (xy 147.724 87.975113) + (xy 147.724 87.831907) + (xy 147.696062 87.691452) + (xy 147.641259 87.559146) + (xy 147.561698 87.440074) + (xy 147.483521 87.361897) + (xy 149.41 87.361897) + (xy 149.41 87.505103) + (xy 149.437938 87.645558) + (xy 149.492741 87.777864) + (xy 149.572302 87.896936) + (xy 149.673564 87.998198) + (xy 149.792636 88.077759) + (xy 149.924942 88.132562) + (xy 150.065397 88.1605) + (xy 150.208603 88.1605) + (xy 150.349058 88.132562) + (xy 150.481364 88.077759) + (xy 150.600436 87.998198) + (xy 150.701698 87.896936) + (xy 150.765773 87.801041) + (xy 150.772741 87.817864) + (xy 150.852302 87.936936) + (xy 150.953564 88.038198) + (xy 151.072636 88.117759) + (xy 151.204942 88.172562) + (xy 151.345397 88.2005) + (xy 151.488603 88.2005) + (xy 151.629058 88.172562) + (xy 151.761364 88.117759) + (xy 151.880436 88.038198) + (xy 151.981698 87.936936) + (xy 152.061259 87.817864) + (xy 152.116062 87.685558) + (xy 152.144 87.545103) + (xy 152.144 87.401897) + (xy 152.116062 87.261442) + (xy 152.061259 87.129136) + (xy 151.981698 87.010064) + (xy 151.880436 86.908802) + (xy 151.761364 86.829241) + (xy 151.629058 86.774438) + (xy 151.488603 86.7465) + (xy 151.345397 86.7465) + (xy 151.204942 86.774438) + (xy 151.072636 86.829241) + (xy 150.953564 86.908802) + (xy 150.852302 87.010064) + (xy 150.788227 87.105959) + (xy 150.781259 87.089136) + (xy 150.701698 86.970064) + (xy 150.600436 86.868802) + (xy 150.481364 86.789241) + (xy 150.349058 86.734438) + (xy 150.208603 86.7065) + (xy 150.065397 86.7065) + (xy 149.924942 86.734438) + (xy 149.792636 86.789241) + (xy 149.673564 86.868802) + (xy 149.572302 86.970064) + (xy 149.492741 87.089136) + (xy 149.437938 87.221442) + (xy 149.41 87.361897) + (xy 147.483521 87.361897) + (xy 147.460436 87.338812) + (xy 147.341364 87.259251) + (xy 147.209058 87.204448) + (xy 147.068603 87.17651) + (xy 146.925397 87.17651) + (xy 146.784942 87.204448) + (xy 146.652636 87.259251) + (xy 146.533564 87.338812) + (xy 146.432302 87.440074) + (xy 146.352741 87.559146) + (xy 146.297938 87.691452) + (xy 146.27 87.831907) + (xy 145.235287 87.831907) + (xy 145.201364 87.809241) + (xy 145.069058 87.754438) + (xy 144.928603 87.7265) + (xy 144.785397 87.7265) + (xy 144.644942 87.754438) + (xy 144.512636 87.809241) + (xy 144.393564 87.888802) + (xy 144.292302 87.990064) + (xy 144.212741 88.109136) + (xy 144.157938 88.241442) + (xy 144.13 88.381897) + (xy 140.940069 88.381897) + (xy 141.020436 88.328198) + (xy 141.121698 88.226936) + (xy 141.201259 88.107864) + (xy 141.256062 87.975558) + (xy 141.284 87.835103) + (xy 141.284 87.691897) + (xy 141.256062 87.551442) + (xy 141.201259 87.419136) + (xy 141.121698 87.300064) + (xy 141.020436 87.198802) + (xy 140.901364 87.119241) + (xy 140.769058 87.064438) + (xy 140.628603 87.0365) + (xy 140.485397 87.0365) + (xy 140.344942 87.064438) + (xy 140.212636 87.119241) + (xy 140.093564 87.198802) + (xy 139.992302 87.300064) + (xy 139.948659 87.36538) + (xy 139.911698 87.310064) + (xy 139.810436 87.208802) + (xy 139.691364 87.129241) + (xy 139.559058 87.074438) + (xy 139.418603 87.0465) + (xy 139.275397 87.0465) + (xy 139.134942 87.074438) + (xy 139.002636 87.129241) + (xy 138.883564 87.208802) + (xy 138.782302 87.310064) + (xy 138.702741 87.429136) + (xy 138.647938 87.561442) + (xy 138.62 87.701897) + (xy 133.746365 87.701897) + (xy 133.764175 87.658902) + (xy 133.792113 87.518447) + (xy 133.792113 87.375241) + (xy 133.764175 87.234786) + (xy 133.709372 87.10248) + (xy 133.629811 86.983408) + (xy 133.528549 86.882146) + (xy 133.409477 86.802585) + (xy 133.277171 86.747782) + (xy 133.136716 86.719844) + (xy 132.99351 86.719844) + (xy 132.853055 86.747782) + (xy 132.720749 86.802585) + (xy 132.601677 86.882146) + (xy 132.500415 86.983408) + (xy 132.420854 87.10248) + (xy 132.366051 87.234786) + (xy 132.338113 87.375241) + (xy 127.071 87.375241) + (xy 127.071 85.861897) + (xy 129.85 85.861897) + (xy 129.85 86.005103) + (xy 129.877938 86.145558) + (xy 129.932741 86.277864) + (xy 130.012302 86.396936) + (xy 130.113564 86.498198) + (xy 130.232636 86.577759) + (xy 130.364942 86.632562) + (xy 130.505397 86.6605) + (xy 130.648603 86.6605) + (xy 130.789058 86.632562) + (xy 130.921364 86.577759) + (xy 131.040436 86.498198) + (xy 131.141698 86.396936) + (xy 131.221259 86.277864) + (xy 131.276062 86.145558) + (xy 131.304 86.005103) + (xy 131.304 85.861897) + (xy 131.276062 85.721442) + (xy 131.221259 85.589136) + (xy 131.141698 85.470064) + (xy 131.040436 85.368802) + (xy 130.921364 85.289241) + (xy 130.789058 85.234438) + (xy 130.648603 85.2065) + (xy 130.505397 85.2065) + (xy 130.364942 85.234438) + (xy 130.232636 85.289241) + (xy 130.113564 85.368802) + (xy 130.012302 85.470064) + (xy 129.932741 85.589136) + (xy 129.877938 85.721442) + (xy 129.85 85.861897) + (xy 127.071 85.861897) + (xy 127.071 84.481897) + (xy 135.39 84.481897) + (xy 135.39 84.625103) + (xy 135.417938 84.765558) + (xy 135.472741 84.897864) + (xy 135.552302 85.016936) + (xy 135.653564 85.118198) + (xy 135.772636 85.197759) + (xy 135.904942 85.252562) + (xy 136.045397 85.2805) + (xy 136.188603 85.2805) + (xy 136.329058 85.252562) + (xy 136.461364 85.197759) + (xy 136.580436 85.118198) + (xy 136.681698 85.016936) + (xy 136.761259 84.897864) + (xy 136.76373 84.891897) + (xy 150.71 84.891897) + (xy 150.71 85.035103) + (xy 150.737938 85.175558) + (xy 150.792741 85.307864) + (xy 150.872302 85.426936) + (xy 150.973564 85.528198) + (xy 151.092636 85.607759) + (xy 151.224942 85.662562) + (xy 151.365397 85.6905) + (xy 151.508603 85.6905) + (xy 151.649058 85.662562) + (xy 151.781364 85.607759) + (xy 151.900436 85.528198) + (xy 152.001698 85.426936) + (xy 152.081259 85.307864) + (xy 152.136062 85.175558) + (xy 152.164 85.035103) + (xy 152.164 84.901897) + (xy 157.73 84.901897) + (xy 157.73 85.045103) + (xy 157.757938 85.185558) + (xy 157.812741 85.317864) + (xy 157.892302 85.436936) + (xy 157.993564 85.538198) + (xy 158.112636 85.617759) + (xy 158.244942 85.672562) + (xy 158.385397 85.7005) + (xy 158.528603 85.7005) + (xy 158.669058 85.672562) + (xy 158.801364 85.617759) + (xy 158.920436 85.538198) + (xy 159.021698 85.436936) + (xy 159.101259 85.317864) + (xy 159.156062 85.185558) + (xy 159.184 85.045103) + (xy 159.184 84.901897) + (xy 159.156062 84.761442) + (xy 159.101259 84.629136) + (xy 159.021698 84.510064) + (xy 158.920436 84.408802) + (xy 158.801364 84.329241) + (xy 158.669058 84.274438) + (xy 158.528603 84.2465) + (xy 158.385397 84.2465) + (xy 158.244942 84.274438) + (xy 158.112636 84.329241) + (xy 157.993564 84.408802) + (xy 157.892302 84.510064) + (xy 157.812741 84.629136) + (xy 157.757938 84.761442) + (xy 157.73 84.901897) + (xy 152.164 84.901897) + (xy 152.164 84.891897) + (xy 152.136062 84.751442) + (xy 152.081259 84.619136) + (xy 152.001698 84.500064) + (xy 151.900436 84.398802) + (xy 151.781364 84.319241) + (xy 151.649058 84.264438) + (xy 151.508603 84.2365) + (xy 151.365397 84.2365) + (xy 151.224942 84.264438) + (xy 151.092636 84.319241) + (xy 150.973564 84.398802) + (xy 150.872302 84.500064) + (xy 150.792741 84.619136) + (xy 150.737938 84.751442) + (xy 150.71 84.891897) + (xy 136.76373 84.891897) + (xy 136.816062 84.765558) + (xy 136.844 84.625103) + (xy 136.844 84.481897) + (xy 136.816062 84.341442) + (xy 136.761259 84.209136) + (xy 136.681698 84.090064) + (xy 136.580436 83.988802) + (xy 136.461364 83.909241) + (xy 136.329058 83.854438) + (xy 136.188603 83.8265) + (xy 136.045397 83.8265) + (xy 135.904942 83.854438) + (xy 135.772636 83.909241) + (xy 135.653564 83.988802) + (xy 135.552302 84.090064) + (xy 135.472741 84.209136) + (xy 135.417938 84.341442) + (xy 135.39 84.481897) + (xy 127.071 84.481897) + (xy 127.071 83.081893) + (xy 127.36001 83.081893) + (xy 127.36001 83.225099) + (xy 127.387948 83.365554) + (xy 127.442751 83.49786) + (xy 127.522312 83.616932) + (xy 127.623574 83.718194) + (xy 127.742646 83.797755) + (xy 127.874952 83.852558) + (xy 128.015407 83.880496) + (xy 128.158613 83.880496) + (xy 128.299068 83.852558) + (xy 128.431374 83.797755) + (xy 128.550446 83.718194) + (xy 128.651708 83.616932) + (xy 128.731269 83.49786) + (xy 128.786072 83.365554) + (xy 128.81401 83.225099) + (xy 128.81401 83.081893) + (xy 128.808044 83.051897) + (xy 129.649982 83.051897) + (xy 129.649982 83.195103) + (xy 129.67792 83.335558) + (xy 129.732723 83.467864) + (xy 129.812284 83.586936) + (xy 129.913546 83.688198) + (xy 130.032618 83.767759) + (xy 130.164924 83.822562) + (xy 130.305379 83.8505) + (xy 130.448585 83.8505) + (xy 130.58904 83.822562) + (xy 130.721346 83.767759) + (xy 130.840418 83.688198) + (xy 130.94168 83.586936) + (xy 131.021241 83.467864) + (xy 131.076044 83.335558) + (xy 131.103982 83.195103) + (xy 131.103982 83.051897) + (xy 131.080113 82.931897) + (xy 136.52 82.931897) + (xy 136.52 83.075103) + (xy 136.547938 83.215558) + (xy 136.602741 83.347864) + (xy 136.682302 83.466936) + (xy 136.783564 83.568198) + (xy 136.902636 83.647759) + (xy 137.034942 83.702562) + (xy 137.175397 83.7305) + (xy 137.318603 83.7305) + (xy 137.459058 83.702562) + (xy 137.591364 83.647759) + (xy 137.615103 83.631897) + (xy 140.72 83.631897) + (xy 140.72 83.775103) + (xy 140.747938 83.915558) + (xy 140.802741 84.047864) + (xy 140.882302 84.166936) + (xy 140.983564 84.268198) + (xy 141.102636 84.347759) + (xy 141.234942 84.402562) + (xy 141.375397 84.4305) + (xy 141.518603 84.4305) + (xy 141.659058 84.402562) + (xy 141.791364 84.347759) + (xy 141.910436 84.268198) + (xy 142.011698 84.166936) + (xy 142.091259 84.047864) + (xy 142.146062 83.915558) + (xy 142.174 83.775103) + (xy 142.174 83.631897) + (xy 142.146062 83.491442) + (xy 142.117256 83.421897) + (xy 145.05 83.421897) + (xy 145.05 83.565103) + (xy 145.077938 83.705558) + (xy 145.132741 83.837864) + (xy 145.212302 83.956936) + (xy 145.313564 84.058198) + (xy 145.432636 84.137759) + (xy 145.564942 84.192562) + (xy 145.705397 84.2205) + (xy 145.848603 84.2205) + (xy 145.989058 84.192562) + (xy 146.121364 84.137759) + (xy 146.240436 84.058198) + (xy 146.341698 83.956936) + (xy 146.421259 83.837864) + (xy 146.476062 83.705558) + (xy 146.504 83.565103) + (xy 146.504 83.421897) + (xy 146.476062 83.281442) + (xy 146.421259 83.149136) + (xy 146.341698 83.030064) + (xy 146.240436 82.928802) + (xy 146.16602 82.879079) + (xy 146.249058 82.862562) + (xy 146.274805 82.851897) + (xy 148.11 82.851897) + (xy 148.11 82.995103) + (xy 148.137938 83.135558) + (xy 148.192741 83.267864) + (xy 148.272302 83.386936) + (xy 148.373564 83.488198) + (xy 148.492636 83.567759) + (xy 148.624942 83.622562) + (xy 148.765397 83.6505) + (xy 148.908603 83.6505) + (xy 149.049058 83.622562) + (xy 149.181364 83.567759) + (xy 149.300436 83.488198) + (xy 149.401698 83.386936) + (xy 149.481259 83.267864) + (xy 149.536062 83.135558) + (xy 149.564 82.995103) + (xy 149.564 82.991897) + (xy 149.99 82.991897) + (xy 149.99 83.135103) + (xy 150.017938 83.275558) + (xy 150.072741 83.407864) + (xy 150.152302 83.526936) + (xy 150.253564 83.628198) + (xy 150.372636 83.707759) + (xy 150.504942 83.762562) + (xy 150.645397 83.7905) + (xy 150.788603 83.7905) + (xy 150.929058 83.762562) + (xy 151.061364 83.707759) + (xy 151.180436 83.628198) + (xy 151.281698 83.526936) + (xy 151.361259 83.407864) + (xy 151.414004 83.280526) + (xy 151.424844 83.287769) + (xy 151.55715 83.342572) + (xy 151.697605 83.37051) + (xy 151.840811 83.37051) + (xy 151.981266 83.342572) + (xy 152.113572 83.287769) + (xy 152.232644 83.208208) + (xy 152.333906 83.106946) + (xy 152.413467 82.987874) + (xy 152.46827 82.855568) + (xy 152.496208 82.715113) + (xy 152.496208 82.571907) + (xy 152.46827 82.431452) + (xy 152.413467 82.299146) + (xy 152.408624 82.291897) + (xy 152.76 82.291897) + (xy 152.76 82.435103) + (xy 152.787938 82.575558) + (xy 152.842741 82.707864) + (xy 152.922302 82.826936) + (xy 153.023564 82.928198) + (xy 153.142636 83.007759) + (xy 153.274942 83.062562) + (xy 153.415397 83.0905) + (xy 153.558603 83.0905) + (xy 153.699058 83.062562) + (xy 153.831364 83.007759) + (xy 153.950436 82.928198) + (xy 154.051698 82.826936) + (xy 154.131259 82.707864) + (xy 154.186062 82.575558) + (xy 154.214 82.435103) + (xy 154.214 82.291897) + (xy 154.186062 82.151442) + (xy 154.131259 82.019136) + (xy 154.051698 81.900064) + (xy 153.950436 81.798802) + (xy 153.831364 81.719241) + (xy 153.699058 81.664438) + (xy 153.558603 81.6365) + (xy 153.415397 81.6365) + (xy 153.274942 81.664438) + (xy 153.142636 81.719241) + (xy 153.023564 81.798802) + (xy 152.922302 81.900064) + (xy 152.842741 82.019136) + (xy 152.787938 82.151442) + (xy 152.76 82.291897) + (xy 152.408624 82.291897) + (xy 152.333906 82.180074) + (xy 152.232644 82.078812) + (xy 152.113572 81.999251) + (xy 151.981266 81.944448) + (xy 151.840811 81.91651) + (xy 151.697605 81.91651) + (xy 151.55715 81.944448) + (xy 151.424844 81.999251) + (xy 151.305772 82.078812) + (xy 151.20451 82.180074) + (xy 151.124949 82.299146) + (xy 151.072204 82.426484) + (xy 151.061364 82.419241) + (xy 150.929058 82.364438) + (xy 150.788603 82.3365) + (xy 150.645397 82.3365) + (xy 150.504942 82.364438) + (xy 150.372636 82.419241) + (xy 150.253564 82.498802) + (xy 150.152302 82.600064) + (xy 150.072741 82.719136) + (xy 150.017938 82.851442) + (xy 149.99 82.991897) + (xy 149.564 82.991897) + (xy 149.564 82.851897) + (xy 149.536062 82.711442) + (xy 149.481259 82.579136) + (xy 149.427986 82.499408) + (xy 149.481982 82.418597) + (xy 149.536785 82.286291) + (xy 149.564723 82.145836) + (xy 149.564723 82.00263) + (xy 149.536785 81.862175) + (xy 149.481982 81.729869) + (xy 149.402421 81.610797) + (xy 149.301159 81.509535) + (xy 149.182087 81.429974) + (xy 149.049781 81.375171) + (xy 148.909326 81.347233) + (xy 148.76612 81.347233) + (xy 148.625665 81.375171) + (xy 148.493359 81.429974) + (xy 148.374287 81.509535) + (xy 148.273025 81.610797) + (xy 148.193464 81.729869) + (xy 148.138661 81.862175) + (xy 148.110723 82.00263) + (xy 148.110723 82.145836) + (xy 148.138661 82.286291) + (xy 148.193464 82.418597) + (xy 148.246737 82.498325) + (xy 148.192741 82.579136) + (xy 148.137938 82.711442) + (xy 148.11 82.851897) + (xy 146.274805 82.851897) + (xy 146.381364 82.807759) + (xy 146.500436 82.728198) + (xy 146.601698 82.626936) + (xy 146.681259 82.507864) + (xy 146.736062 82.375558) + (xy 146.764 82.235103) + (xy 146.764 82.091897) + (xy 146.736062 81.951442) + (xy 146.681259 81.819136) + (xy 146.601698 81.700064) + (xy 146.500436 81.598802) + (xy 146.381364 81.519241) + (xy 146.249058 81.464438) + (xy 146.108603 81.4365) + (xy 145.965397 81.4365) + (xy 145.824942 81.464438) + (xy 145.692636 81.519241) + (xy 145.573564 81.598802) + (xy 145.472302 81.700064) + (xy 145.392741 81.819136) + (xy 145.337938 81.951442) + (xy 145.31 82.091897) + (xy 145.31 82.235103) + (xy 145.337938 82.375558) + (xy 145.392741 82.507864) + (xy 145.472302 82.626936) + (xy 145.573564 82.728198) + (xy 145.64798 82.777921) + (xy 145.564942 82.794438) + (xy 145.432636 82.849241) + (xy 145.313564 82.928802) + (xy 145.212302 83.030064) + (xy 145.132741 83.149136) + (xy 145.077938 83.281442) + (xy 145.05 83.421897) + (xy 142.117256 83.421897) + (xy 142.091259 83.359136) + (xy 142.011698 83.240064) + (xy 141.910436 83.138802) + (xy 141.791364 83.059241) + (xy 141.659058 83.004438) + (xy 141.518603 82.9765) + (xy 141.375397 82.9765) + (xy 141.234942 83.004438) + (xy 141.102636 83.059241) + (xy 140.983564 83.138802) + (xy 140.882302 83.240064) + (xy 140.802741 83.359136) + (xy 140.747938 83.491442) + (xy 140.72 83.631897) + (xy 137.615103 83.631897) + (xy 137.710436 83.568198) + (xy 137.811698 83.466936) + (xy 137.891259 83.347864) + (xy 137.946062 83.215558) + (xy 137.974 83.075103) + (xy 137.974 82.931897) + (xy 137.946062 82.791442) + (xy 137.910419 82.705391) + (xy 137.972646 82.74697) + (xy 138.104952 82.801773) + (xy 138.245407 82.829711) + (xy 138.388613 82.829711) + (xy 138.529068 82.801773) + (xy 138.661374 82.74697) + (xy 138.780446 82.667409) + (xy 138.881708 82.566147) + (xy 138.961269 82.447075) + (xy 139.016072 82.314769) + (xy 139.04401 82.174314) + (xy 139.04401 82.031108) + (xy 139.016072 81.890653) + (xy 138.961269 81.758347) + (xy 138.881708 81.639275) + (xy 138.86433 81.621897) + (xy 143.15 81.621897) + (xy 143.15 81.765103) + (xy 143.177938 81.905558) + (xy 143.232741 82.037864) + (xy 143.312302 82.156936) + (xy 143.413564 82.258198) + (xy 143.532636 82.337759) + (xy 143.664942 82.392562) + (xy 143.805397 82.4205) + (xy 143.948603 82.4205) + (xy 144.089058 82.392562) + (xy 144.221364 82.337759) + (xy 144.340436 82.258198) + (xy 144.441698 82.156936) + (xy 144.521259 82.037864) + (xy 144.576062 81.905558) + (xy 144.604 81.765103) + (xy 144.604 81.621897) + (xy 144.576062 81.481442) + (xy 144.521259 81.349136) + (xy 144.482233 81.290729) + (xy 144.534942 81.312562) + (xy 144.675397 81.3405) + (xy 144.818603 81.3405) + (xy 144.959058 81.312562) + (xy 145.091364 81.257759) + (xy 145.210436 81.178198) + (xy 145.311698 81.076936) + (xy 145.391259 80.957864) + (xy 145.446062 80.825558) + (xy 145.474 80.685103) + (xy 145.474 80.541897) + (xy 145.446062 80.401442) + (xy 145.391259 80.269136) + (xy 145.311698 80.150064) + (xy 145.210436 80.048802) + (xy 145.091364 79.969241) + (xy 144.959058 79.914438) + (xy 144.818603 79.8865) + (xy 144.675397 79.8865) + (xy 144.534942 79.914438) + (xy 144.402636 79.969241) + (xy 144.283564 80.048802) + (xy 144.182302 80.150064) + (xy 144.102741 80.269136) + (xy 144.047938 80.401442) + (xy 144.02 80.541897) + (xy 144.02 80.685103) + (xy 144.047938 80.825558) + (xy 144.102741 80.957864) + (xy 144.141767 81.016271) + (xy 144.089058 80.994438) + (xy 143.948603 80.9665) + (xy 143.805397 80.9665) + (xy 143.664942 80.994438) + (xy 143.532636 81.049241) + (xy 143.413564 81.128802) + (xy 143.312302 81.230064) + (xy 143.232741 81.349136) + (xy 143.177938 81.481442) + (xy 143.15 81.621897) + (xy 138.86433 81.621897) + (xy 138.780446 81.538013) + (xy 138.661374 81.458452) + (xy 138.529068 81.403649) + (xy 138.388613 81.375711) + (xy 138.245407 81.375711) + (xy 138.104952 81.403649) + (xy 137.972646 81.458452) + (xy 137.853574 81.538013) + (xy 137.752312 81.639275) + (xy 137.672751 81.758347) + (xy 137.617948 81.890653) + (xy 137.59001 82.031108) + (xy 137.59001 82.174314) + (xy 137.617948 82.314769) + (xy 137.653591 82.40082) + (xy 137.591364 82.359241) + (xy 137.459058 82.304438) + (xy 137.318603 82.2765) + (xy 137.175397 82.2765) + (xy 137.034942 82.304438) + (xy 136.902636 82.359241) + (xy 136.783564 82.438802) + (xy 136.682302 82.540064) + (xy 136.602741 82.659136) + (xy 136.547938 82.791442) + (xy 136.52 82.931897) + (xy 131.080113 82.931897) + (xy 131.076044 82.911442) + (xy 131.021241 82.779136) + (xy 130.94168 82.660064) + (xy 130.840418 82.558802) + (xy 130.721346 82.479241) + (xy 130.58904 82.424438) + (xy 130.448585 82.3965) + (xy 130.305379 82.3965) + (xy 130.164924 82.424438) + (xy 130.032618 82.479241) + (xy 129.913546 82.558802) + (xy 129.812284 82.660064) + (xy 129.732723 82.779136) + (xy 129.67792 82.911442) + (xy 129.649982 83.051897) + (xy 128.808044 83.051897) + (xy 128.786072 82.941438) + (xy 128.731269 82.809132) + (xy 128.651708 82.69006) + (xy 128.550446 82.588798) + (xy 128.431374 82.509237) + (xy 128.299068 82.454434) + (xy 128.158613 82.426496) + (xy 128.015407 82.426496) + (xy 127.874952 82.454434) + (xy 127.742646 82.509237) + (xy 127.623574 82.588798) + (xy 127.522312 82.69006) + (xy 127.442751 82.809132) + (xy 127.387948 82.941438) + (xy 127.36001 83.081893) + (xy 127.071 83.081893) + (xy 127.071 79.587609) + (xy 127.09838 79.308366) + (xy 127.173055 79.061027) + (xy 127.271967 78.875) + (xy 130.642934 78.875) + (xy 130.642934 79.875) + (xy 130.651178 79.958707) + (xy 130.675595 80.039196) + (xy 130.715245 80.113376) + (xy 130.768605 80.178395) + (xy 130.833624 80.231755) + (xy 130.907804 80.271405) + (xy 130.988293 80.295822) + (xy 131.072 80.304066) + (xy 132.072 80.304066) + (xy 132.155707 80.295822) + (xy 132.236196 80.271405) + (xy 132.310376 80.231755) + (xy 132.375395 80.178395) + (xy 132.428755 80.113376) + (xy 132.468405 80.039196) + (xy 132.492822 79.958707) + (xy 132.501066 79.875) + (xy 132.501066 79.081897) + (xy 132.6 79.081897) + (xy 132.6 79.225103) + (xy 132.627938 79.365558) + (xy 132.682741 79.497864) + (xy 132.762302 79.616936) + (xy 132.863564 79.718198) + (xy 132.982636 79.797759) + (xy 133.114942 79.852562) + (xy 133.255397 79.8805) + (xy 133.398603 79.8805) + (xy 133.539058 79.852562) + (xy 133.671364 79.797759) + (xy 133.790436 79.718198) + (xy 133.891698 79.616936) + (xy 133.971259 79.497864) + (xy 134.026062 79.365558) + (xy 134.054 79.225103) + (xy 134.054 79.081897) + (xy 134.048276 79.053116) + (xy 136.204677 79.053116) + (xy 136.204677 79.196322) + (xy 136.232615 79.336777) + (xy 136.287418 79.469083) + (xy 136.366979 79.588155) + (xy 136.468241 79.689417) + (xy 136.587313 79.768978) + (xy 136.719619 79.823781) + (xy 136.860074 79.851719) + (xy 137.00328 79.851719) + (xy 137.143735 79.823781) + (xy 137.155356 79.818967) + (xy 137.239253 79.902864) + (xy 137.358325 79.982425) + (xy 137.490631 80.037228) + (xy 137.631086 80.065166) + (xy 137.774292 80.065166) + (xy 137.881379 80.043865) + (xy 137.932741 80.167864) + (xy 138.012302 80.286936) + (xy 138.113564 80.388198) + (xy 138.232636 80.467759) + (xy 138.364942 80.522562) + (xy 138.505397 80.5505) + (xy 138.648603 80.5505) + (xy 138.789058 80.522562) + (xy 138.921364 80.467759) + (xy 139.040436 80.388198) + (xy 139.141698 80.286936) + (xy 139.221259 80.167864) + (xy 139.276062 80.035558) + (xy 139.304 79.895103) + (xy 139.304 79.751897) + (xy 139.276062 79.611442) + (xy 139.221259 79.479136) + (xy 139.16965 79.401897) + (xy 141.14 79.401897) + (xy 141.14 79.545103) + (xy 141.167938 79.685558) + (xy 141.222741 79.817864) + (xy 141.302302 79.936936) + (xy 141.403564 80.038198) + (xy 141.522636 80.117759) + (xy 141.654942 80.172562) + (xy 141.795397 80.2005) + (xy 141.938603 80.2005) + (xy 142.079058 80.172562) + (xy 142.211364 80.117759) + (xy 142.330436 80.038198) + (xy 142.431698 79.936936) + (xy 142.511259 79.817864) + (xy 142.566062 79.685558) + (xy 142.588484 79.57283) + (xy 147.832389 79.57283) + (xy 147.832389 79.716036) + (xy 147.860327 79.856491) + (xy 147.91513 79.988797) + (xy 147.994691 80.107869) + (xy 148.095953 80.209131) + (xy 148.215025 80.288692) + (xy 148.347331 80.343495) + (xy 148.487786 80.371433) + (xy 148.630992 80.371433) + (xy 148.771447 80.343495) + (xy 148.903753 80.288692) + (xy 149.022825 80.209131) + (xy 149.040059 80.191897) + (xy 154.48 80.191897) + (xy 154.48 80.335103) + (xy 154.507938 80.475558) + (xy 154.562741 80.607864) + (xy 154.642302 80.726936) + (xy 154.743564 80.828198) + (xy 154.862636 80.907759) + (xy 154.994942 80.962562) + (xy 155.135397 80.9905) + (xy 155.278603 80.9905) + (xy 155.419058 80.962562) + (xy 155.551364 80.907759) + (xy 155.605035 80.871897) + (xy 158.21 80.871897) + (xy 158.21 81.015103) + (xy 158.237938 81.155558) + (xy 158.292741 81.287864) + (xy 158.372302 81.406936) + (xy 158.473564 81.508198) + (xy 158.592636 81.587759) + (xy 158.724942 81.642562) + (xy 158.865397 81.6705) + (xy 159.008603 81.6705) + (xy 159.149058 81.642562) + (xy 159.281364 81.587759) + (xy 159.400436 81.508198) + (xy 159.501698 81.406936) + (xy 159.581259 81.287864) + (xy 159.636062 81.155558) + (xy 159.664 81.015103) + (xy 159.664 80.871897) + (xy 159.636062 80.731442) + (xy 159.581259 80.599136) + (xy 159.501698 80.480064) + (xy 159.400436 80.378802) + (xy 159.281364 80.299241) + (xy 159.149058 80.244438) + (xy 159.008603 80.2165) + (xy 158.865397 80.2165) + (xy 158.724942 80.244438) + (xy 158.592636 80.299241) + (xy 158.473564 80.378802) + (xy 158.372302 80.480064) + (xy 158.292741 80.599136) + (xy 158.237938 80.731442) + (xy 158.21 80.871897) + (xy 155.605035 80.871897) + (xy 155.670436 80.828198) + (xy 155.771698 80.726936) + (xy 155.851259 80.607864) + (xy 155.906062 80.475558) + (xy 155.934 80.335103) + (xy 155.934 80.191897) + (xy 155.906062 80.051442) + (xy 155.851259 79.919136) + (xy 155.786467 79.822167) + (xy 155.851698 79.756936) + (xy 155.931259 79.637864) + (xy 155.986062 79.505558) + (xy 156.014 79.365103) + (xy 156.014 79.221897) + (xy 155.986062 79.081442) + (xy 155.931259 78.949136) + (xy 155.851698 78.830064) + (xy 155.750436 78.728802) + (xy 155.631364 78.649241) + (xy 155.499058 78.594438) + (xy 155.358603 78.5665) + (xy 155.215397 78.5665) + (xy 155.074942 78.594438) + (xy 154.942636 78.649241) + (xy 154.823564 78.728802) + (xy 154.722302 78.830064) + (xy 154.642741 78.949136) + (xy 154.587938 79.081442) + (xy 154.56 79.221897) + (xy 154.56 79.365103) + (xy 154.587938 79.505558) + (xy 154.642741 79.637864) + (xy 154.707533 79.734833) + (xy 154.642302 79.800064) + (xy 154.562741 79.919136) + (xy 154.507938 80.051442) + (xy 154.48 80.191897) + (xy 149.040059 80.191897) + (xy 149.124087 80.107869) + (xy 149.203648 79.988797) + (xy 149.258451 79.856491) + (xy 149.286389 79.716036) + (xy 149.286389 79.57283) + (xy 149.258451 79.432375) + (xy 149.233401 79.371897) + (xy 149.7 79.371897) + (xy 149.7 79.515103) + (xy 149.727938 79.655558) + (xy 149.782741 79.787864) + (xy 149.862302 79.906936) + (xy 149.963564 80.008198) + (xy 150.082636 80.087759) + (xy 150.214942 80.142562) + (xy 150.355397 80.1705) + (xy 150.498603 80.1705) + (xy 150.639058 80.142562) + (xy 150.771364 80.087759) + (xy 150.890436 80.008198) + (xy 150.991698 79.906936) + (xy 151.071259 79.787864) + (xy 151.126062 79.655558) + (xy 151.154 79.515103) + (xy 151.154 79.371897) + (xy 151.126062 79.231442) + (xy 151.071259 79.099136) + (xy 150.991698 78.980064) + (xy 150.890436 78.878802) + (xy 150.771364 78.799241) + (xy 150.639058 78.744438) + (xy 150.498603 78.7165) + (xy 150.355397 78.7165) + (xy 150.214942 78.744438) + (xy 150.082636 78.799241) + (xy 149.963564 78.878802) + (xy 149.862302 78.980064) + (xy 149.782741 79.099136) + (xy 149.727938 79.231442) + (xy 149.7 79.371897) + (xy 149.233401 79.371897) + (xy 149.203648 79.300069) + (xy 149.124087 79.180997) + (xy 149.022825 79.079735) + (xy 148.903753 79.000174) + (xy 148.771447 78.945371) + (xy 148.630992 78.917433) + (xy 148.487786 78.917433) + (xy 148.347331 78.945371) + (xy 148.215025 79.000174) + (xy 148.095953 79.079735) + (xy 147.994691 79.180997) + (xy 147.91513 79.300069) + (xy 147.860327 79.432375) + (xy 147.832389 79.57283) + (xy 142.588484 79.57283) + (xy 142.594 79.545103) + (xy 142.594 79.401897) + (xy 142.566062 79.261442) + (xy 142.511259 79.129136) + (xy 142.431698 79.010064) + (xy 142.330436 78.908802) + (xy 142.211364 78.829241) + (xy 142.079058 78.774438) + (xy 141.938603 78.7465) + (xy 141.795397 78.7465) + (xy 141.654942 78.774438) + (xy 141.522636 78.829241) + (xy 141.403564 78.908802) + (xy 141.302302 79.010064) + (xy 141.222741 79.129136) + (xy 141.167938 79.261442) + (xy 141.14 79.401897) + (xy 139.16965 79.401897) + (xy 139.141698 79.360064) + (xy 139.040436 79.258802) + (xy 138.921364 79.179241) + (xy 138.789058 79.124438) + (xy 138.648603 79.0965) + (xy 138.505397 79.0965) + (xy 138.39831 79.117801) + (xy 138.346948 78.993802) + (xy 138.267387 78.87473) + (xy 138.166125 78.773468) + (xy 138.047053 78.693907) + (xy 137.914747 78.639104) + (xy 137.774292 78.611166) + (xy 137.631086 78.611166) + (xy 137.490631 78.639104) + (xy 137.47901 78.643918) + (xy 137.395113 78.560021) + (xy 137.276041 78.48046) + (xy 137.143735 78.425657) + (xy 137.00328 78.397719) + (xy 136.860074 78.397719) + (xy 136.719619 78.425657) + (xy 136.587313 78.48046) + (xy 136.468241 78.560021) + (xy 136.366979 78.661283) + (xy 136.287418 78.780355) + (xy 136.232615 78.912661) + (xy 136.204677 79.053116) + (xy 134.048276 79.053116) + (xy 134.026062 78.941442) + (xy 133.971259 78.809136) + (xy 133.891698 78.690064) + (xy 133.790436 78.588802) + (xy 133.671364 78.509241) + (xy 133.539058 78.454438) + (xy 133.398603 78.4265) + (xy 133.255397 78.4265) + (xy 133.114942 78.454438) + (xy 132.982636 78.509241) + (xy 132.863564 78.588802) + (xy 132.762302 78.690064) + (xy 132.682741 78.809136) + (xy 132.627938 78.941442) + (xy 132.6 79.081897) + (xy 132.501066 79.081897) + (xy 132.501066 78.875) + (xy 132.492822 78.791293) + (xy 132.468405 78.710804) + (xy 132.428755 78.636624) + (xy 132.375395 78.571605) + (xy 132.310376 78.518245) + (xy 132.236196 78.478595) + (xy 132.155707 78.454178) + (xy 132.072 78.445934) + (xy 131.072 78.445934) + (xy 130.988293 78.454178) + (xy 130.907804 78.478595) + (xy 130.833624 78.518245) + (xy 130.768605 78.571605) + (xy 130.715245 78.636624) + (xy 130.675595 78.710804) + (xy 130.651178 78.791293) + (xy 130.642934 78.875) + (xy 127.271967 78.875) + (xy 127.294351 78.832903) + (xy 127.457648 78.632681) + (xy 127.656721 78.467993) + (xy 127.883994 78.345107) + (xy 128.130806 78.268706) + (xy 128.408686 78.2395) + (xy 159.108891 78.2395) + ) + ) + ) + (zone (net 1) (net_name "GND") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-0000617f3b59) (hatch edge 0.508) + (connect_pads (clearance 0.3)) + (min_thickness 0.254) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 160.909 77.7875) + (xy 160.909 119.9515) + (xy 126.619 119.9515) + (xy 126.619 77.7875) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 134.646661 78.317548) + (xy 134.626553 78.33405) + (xy 134.594036 78.373672) + (xy 134.551 78.369434) + (xy 134.151 78.369434) + (xy 134.067293 78.377678) + (xy 133.986804 78.402095) + (xy 133.912624 78.441745) + (xy 133.847605 78.495105) + (xy 133.794245 78.560124) + (xy 133.781948 78.58313) + (xy 133.671364 78.509241) + (xy 133.539058 78.454438) + (xy 133.398603 78.4265) + (xy 133.255397 78.4265) + (xy 133.114942 78.454438) + (xy 132.982636 78.509241) + (xy 132.863564 78.588802) + (xy 132.762302 78.690064) + (xy 132.682741 78.809136) + (xy 132.627938 78.941442) + (xy 132.6 79.081897) + (xy 132.6 79.225103) + (xy 132.627938 79.365558) + (xy 132.682741 79.497864) + (xy 132.762302 79.616936) + (xy 132.863564 79.718198) + (xy 132.982636 79.797759) + (xy 133.114942 79.852562) + (xy 133.255397 79.8805) + (xy 133.398603 79.8805) + (xy 133.539058 79.852562) + (xy 133.671364 79.797759) + (xy 133.790436 79.718198) + (xy 133.806647 79.701987) + (xy 133.847605 79.751895) + (xy 133.912624 79.805255) + (xy 133.986804 79.844905) + (xy 134.067293 79.869322) + (xy 134.151 79.877566) + (xy 134.474 79.877566) + (xy 134.474 80.17225) + (xy 134.424 80.22225) + (xy 134.424 80.498) + (xy 134.404595 80.534304) + (xy 134.380178 80.614793) + (xy 134.371934 80.6985) + (xy 134.371934 81.1705) + (xy 134.278 81.1705) + (xy 134.278 81.1505) + (xy 133.67475 81.1505) + (xy 133.516 81.30925) + (xy 133.513123 81.332739) + (xy 133.522305 81.457486) + (xy 133.555647 81.578044) + (xy 133.611868 81.689781) + (xy 133.657031 81.747671) + (xy 133.628527 81.802621) + (xy 133.593699 81.922758) + (xy 133.582977 82.047381) + (xy 133.586 82.07375) + (xy 133.74475 82.2325) + (xy 134.364 82.2325) + (xy 134.364 82.2125) + (xy 134.618 82.2125) + (xy 134.618 82.2325) + (xy 134.638 82.2325) + (xy 134.638 82.4865) + (xy 134.618 82.4865) + (xy 134.618 83.15575) + (xy 134.77675 83.3145) + (xy 134.893202 83.303726) + (xy 135.012442 83.265941) + (xy 135.12202 83.205618) + (xy 135.217724 83.125078) + (xy 135.244756 83.091297) + (xy 135.265956 83.097728) + (xy 135.376 83.108566) + (xy 135.646 83.108566) + (xy 135.756044 83.097728) + (xy 135.861859 83.065629) + (xy 135.959378 83.013504) + (xy 136.044855 82.943355) + (xy 136.054258 82.931897) + (xy 136.52 82.931897) + (xy 136.52 83.075103) + (xy 136.547938 83.215558) + (xy 136.602741 83.347864) + (xy 136.682302 83.466936) + (xy 136.783564 83.568198) + (xy 136.902636 83.647759) + (xy 137.034942 83.702562) + (xy 137.175397 83.7305) + (xy 137.318603 83.7305) + (xy 137.459058 83.702562) + (xy 137.591364 83.647759) + (xy 137.710436 83.568198) + (xy 137.811698 83.466936) + (xy 137.833959 83.433619) + (xy 138.662977 83.433619) + (xy 138.673699 83.558242) + (xy 138.708527 83.678379) + (xy 138.766124 83.789414) + (xy 138.844276 83.887078) + (xy 138.93998 83.967618) + (xy 139.049558 84.027941) + (xy 139.168798 84.065726) + (xy 139.28525 84.0765) + (xy 139.444 83.91775) + (xy 139.444 83.2485) + (xy 138.82475 83.2485) + (xy 138.666 83.40725) + (xy 138.662977 83.433619) + (xy 137.833959 83.433619) + (xy 137.891259 83.347864) + (xy 137.946062 83.215558) + (xy 137.974 83.075103) + (xy 137.974 83.021789) + (xy 138.17924 82.81655) + (xy 138.245407 82.829711) + (xy 138.388613 82.829711) + (xy 138.529068 82.801773) + (xy 138.661374 82.74697) + (xy 138.668772 82.742027) + (xy 138.662977 82.809381) + (xy 138.666 82.83575) + (xy 138.82475 82.9945) + (xy 139.444 82.9945) + (xy 139.444 82.32525) + (xy 139.28525 82.1665) + (xy 139.168798 82.177274) + (xy 139.049558 82.215059) + (xy 139.034227 82.223499) + (xy 139.04401 82.174314) + (xy 139.04401 82.031108) + (xy 139.019726 81.909024) + (xy 139.104 81.82475) + (xy 139.104 81.1505) + (xy 138.50075 81.1505) + (xy 138.342 81.30925) + (xy 138.339123 81.332739) + (xy 138.342286 81.375711) + (xy 138.245407 81.375711) + (xy 138.104952 81.403649) + (xy 137.972646 81.458452) + (xy 137.853574 81.538013) + (xy 137.752312 81.639275) + (xy 137.672751 81.758347) + (xy 137.620346 81.884865) + (xy 137.228711 82.2765) + (xy 137.175397 82.2765) + (xy 137.034942 82.304438) + (xy 136.902636 82.359241) + (xy 136.783564 82.438802) + (xy 136.682302 82.540064) + (xy 136.602741 82.659136) + (xy 136.547938 82.791442) + (xy 136.52 82.931897) + (xy 136.054258 82.931897) + (xy 136.115004 82.857878) + (xy 136.167129 82.760359) + (xy 136.199228 82.654544) + (xy 136.210066 82.5445) + (xy 136.210066 82.1745) + (xy 136.199228 82.064456) + (xy 136.178 81.994477) + (xy 136.178 81.623132) + (xy 136.207755 81.586876) + (xy 136.247405 81.512696) + (xy 136.271822 81.432207) + (xy 136.280066 81.3485) + (xy 136.280066 80.6985) + (xy 136.271822 80.614793) + (xy 136.252055 80.549631) + (xy 136.949967 79.851719) + (xy 137.00328 79.851719) + (xy 137.143735 79.823781) + (xy 137.155356 79.818967) + (xy 137.239253 79.902864) + (xy 137.358325 79.982425) + (xy 137.490631 80.037228) + (xy 137.631086 80.065166) + (xy 137.774292 80.065166) + (xy 137.881379 80.043865) + (xy 137.932741 80.167864) + (xy 138.012302 80.286936) + (xy 138.113564 80.388198) + (xy 138.232636 80.467759) + (xy 138.364942 80.522562) + (xy 138.366724 80.522916) + (xy 138.348305 80.589514) + (xy 138.339123 80.714261) + (xy 138.342 80.73775) + (xy 138.50075 80.8965) + (xy 139.104 80.8965) + (xy 139.104 80.8765) + (xy 139.197934 80.8765) + (xy 139.197934 81.3485) + (xy 139.206178 81.432207) + (xy 139.230595 81.512696) + (xy 139.25 81.549) + (xy 139.25 81.82475) + (xy 139.40875 81.9835) + (xy 139.516902 81.971046) + (xy 139.635666 81.93179) + (xy 139.74449 81.870119) + (xy 139.839192 81.788403) + (xy 139.847646 81.777566) + (xy 140.027 81.777566) + (xy 140.064001 81.773922) + (xy 140.064 82.206046) + (xy 139.973202 82.177274) + (xy 139.85675 82.1665) + (xy 139.698 82.32525) + (xy 139.698 82.9945) + (xy 139.718 82.9945) + (xy 139.718 83.2485) + (xy 139.698 83.2485) + (xy 139.698 83.91775) + (xy 139.85675 84.0765) + (xy 139.973202 84.065726) + (xy 140.092442 84.027941) + (xy 140.20202 83.967618) + (xy 140.297724 83.887078) + (xy 140.324756 83.853297) + (xy 140.345956 83.859728) + (xy 140.456 83.870566) + (xy 140.726 83.870566) + (xy 140.738739 83.869311) + (xy 140.747938 83.915558) + (xy 140.802741 84.047864) + (xy 140.882302 84.166936) + (xy 140.983564 84.268198) + (xy 141.102636 84.347759) + (xy 141.234942 84.402562) + (xy 141.375397 84.4305) + (xy 141.518603 84.4305) + (xy 141.659058 84.402562) + (xy 141.791364 84.347759) + (xy 141.910436 84.268198) + (xy 142.011698 84.166936) + (xy 142.091259 84.047864) + (xy 142.146062 83.915558) + (xy 142.174 83.775103) + (xy 142.174 83.631897) + (xy 142.146062 83.491442) + (xy 142.091259 83.359136) + (xy 142.011698 83.240064) + (xy 141.910436 83.138802) + (xy 141.791364 83.059241) + (xy 141.659058 83.004438) + (xy 141.518603 82.9765) + (xy 141.465289 82.9765) + (xy 141.263545 82.774756) + (xy 141.247129 82.720641) + (xy 141.195004 82.623122) + (xy 141.124855 82.537645) + (xy 141.118 82.532019) + (xy 141.118 81.163377) + (xy 141.120549 81.137499) + (xy 141.117859 81.110191) + (xy 141.110374 81.03419) + (xy 141.106066 81.019989) + (xy 141.106066 80.6985) + (xy 141.097822 80.614793) + (xy 141.073405 80.534304) + (xy 141.033755 80.460124) + (xy 140.980395 80.395105) + (xy 140.915376 80.341745) + (xy 140.841196 80.302095) + (xy 140.760707 80.277678) + (xy 140.677 80.269434) + (xy 140.354 80.269434) + (xy 140.354 79.877566) + (xy 140.677 79.877566) + (xy 140.760707 79.869322) + (xy 140.841196 79.844905) + (xy 140.915376 79.805255) + (xy 140.980395 79.751895) + (xy 141.033755 79.686876) + (xy 141.053198 79.6505) + (xy 141.160965 79.6505) + (xy 141.167938 79.685558) + (xy 141.222741 79.817864) + (xy 141.302302 79.936936) + (xy 141.403564 80.038198) + (xy 141.522636 80.117759) + (xy 141.654942 80.172562) + (xy 141.795397 80.2005) + (xy 141.938603 80.2005) + (xy 142.079058 80.172562) + (xy 142.211364 80.117759) + (xy 142.330436 80.038198) + (xy 142.431698 79.936936) + (xy 142.511259 79.817864) + (xy 142.566062 79.685558) + (xy 142.594 79.545103) + (xy 142.594 79.401897) + (xy 142.566062 79.261442) + (xy 142.511259 79.129136) + (xy 142.442011 79.025499) + (xy 142.856001 79.025499) + (xy 142.856 80.931207) + (xy 142.85266 80.934547) + (xy 142.832551 80.95105) + (xy 142.787646 81.005767) + (xy 142.766694 81.031297) + (xy 142.717759 81.122849) + (xy 142.687624 81.222189) + (xy 142.677449 81.325498) + (xy 142.679998 81.351379) + (xy 142.679998 81.965621) + (xy 142.677449 81.991502) + (xy 142.679998 82.017382) + (xy 142.687624 82.094811) + (xy 142.717759 82.194151) + (xy 142.766694 82.285704) + (xy 142.83255 82.36595) + (xy 142.852664 82.382457) + (xy 142.856001 82.385794) + (xy 142.856 87.62821) + (xy 141.946955 86.719166) + (xy 141.930448 86.699052) + (xy 141.850202 86.633196) + (xy 141.75865 86.584261) + (xy 141.65931 86.554126) + (xy 141.581881 86.5465) + (xy 141.556 86.543951) + (xy 141.530119 86.5465) + (xy 133.464337 86.5465) + (xy 133.438456 86.543951) + (xy 133.335147 86.554126) + (xy 133.235807 86.584261) + (xy 133.144255 86.633196) + (xy 133.064009 86.699052) + (xy 133.047506 86.719161) + (xy 133.046823 86.719844) + (xy 132.99351 86.719844) + (xy 132.853055 86.747782) + (xy 132.720749 86.802585) + (xy 132.601677 86.882146) + (xy 132.500415 86.983408) + (xy 132.420854 87.10248) + (xy 132.366051 87.234786) + (xy 132.338113 87.375241) + (xy 132.338113 87.518447) + (xy 132.366051 87.658902) + (xy 132.420854 87.791208) + (xy 132.500415 87.91028) + (xy 132.601677 88.011542) + (xy 132.720749 88.091103) + (xy 132.853055 88.145906) + (xy 132.99351 88.173844) + (xy 133.136716 88.173844) + (xy 133.277171 88.145906) + (xy 133.409477 88.091103) + (xy 133.528549 88.011542) + (xy 133.629811 87.91028) + (xy 133.709372 87.791208) + (xy 133.764175 87.658902) + (xy 133.775792 87.6005) + (xy 138.640169 87.6005) + (xy 138.62 87.701897) + (xy 138.62 87.845103) + (xy 138.647938 87.985558) + (xy 138.702741 88.117864) + (xy 138.705041 88.121306) + (xy 138.673605 88.147105) + (xy 138.620245 88.212124) + (xy 138.580595 88.286304) + (xy 138.556178 88.366793) + (xy 138.547934 88.4505) + (xy 138.547934 89.1005) + (xy 138.556178 89.184207) + (xy 138.580595 89.264696) + (xy 138.620245 89.338876) + (xy 138.673605 89.403895) + (xy 138.738624 89.457255) + (xy 138.812804 89.496905) + (xy 138.893293 89.521322) + (xy 138.977 89.529566) + (xy 139.377 89.529566) + (xy 139.460707 89.521322) + (xy 139.541196 89.496905) + (xy 139.615376 89.457255) + (xy 139.680395 89.403895) + (xy 139.733755 89.338876) + (xy 139.773405 89.264696) + (xy 139.797822 89.184207) + (xy 139.806066 89.1005) + (xy 139.806066 88.8646) + (xy 139.836239 88.80815) + (xy 139.847934 88.769597) + (xy 139.847934 89.1005) + (xy 139.856178 89.184207) + (xy 139.880595 89.264696) + (xy 139.910871 89.321339) + (xy 139.505563 89.726648) + (xy 139.40875 89.7155) + (xy 139.25 89.87425) + (xy 139.25 90.15) + (xy 139.230595 90.186304) + (xy 139.206178 90.266793) + (xy 139.197934 90.3505) + (xy 139.197934 90.8225) + (xy 139.104 90.8225) + (xy 139.104 90.8025) + (xy 138.50075 90.8025) + (xy 138.342 90.96125) + (xy 138.339123 90.984739) + (xy 138.348305 91.109486) + (xy 138.381647 91.230044) + (xy 138.437868 91.341781) + (xy 138.514808 91.440403) + (xy 138.60951 91.522119) + (xy 138.718334 91.58379) + (xy 138.726533 91.5865) + (xy 138.134876 91.5865) + (xy 138.108995 91.583951) + (xy 138.02517 91.592207) + (xy 138.005686 91.594126) + (xy 137.906346 91.624261) + (xy 137.814794 91.673196) + (xy 137.734548 91.739052) + (xy 137.718045 91.759161) + (xy 136.68266 92.794547) + (xy 136.662551 92.81105) + (xy 136.605059 92.881104) + (xy 136.596694 92.891297) + (xy 136.547759 92.982849) + (xy 136.517624 93.082189) + (xy 136.507449 93.185498) + (xy 136.509998 93.211379) + (xy 136.509998 93.467405) + (xy 136.402703 93.488747) + (xy 136.270397 93.54355) + (xy 136.151325 93.623111) + (xy 136.050063 93.724373) + (xy 135.970502 93.843445) + (xy 135.915699 93.975751) + (xy 135.887761 94.116206) + (xy 135.887761 94.259412) + (xy 135.915699 94.399867) + (xy 135.970502 94.532173) + (xy 136.050063 94.651245) + (xy 136.151325 94.752507) + (xy 136.270397 94.832068) + (xy 136.402703 94.886871) + (xy 136.543158 94.914809) + (xy 136.686364 94.914809) + (xy 136.826819 94.886871) + (xy 136.959125 94.832068) + (xy 137.014001 94.795401) + (xy 137.014 97.673434) + (xy 136.691 97.673434) + (xy 136.607293 97.681678) + (xy 136.526804 97.706095) + (xy 136.507338 97.7165) + (xy 136.448134 97.7165) + (xy 136.410436 97.678802) + (xy 136.291364 97.599241) + (xy 136.159058 97.544438) + (xy 136.018603 97.5165) + (xy 135.875397 97.5165) + (xy 135.734942 97.544438) + (xy 135.602636 97.599241) + (xy 135.483564 97.678802) + (xy 135.382302 97.780064) + (xy 135.302741 97.899136) + (xy 135.247938 98.031442) + (xy 135.22 98.171897) + (xy 135.22 98.315103) + (xy 135.247938 98.455558) + (xy 135.302741 98.587864) + (xy 135.382302 98.706936) + (xy 135.483564 98.808198) + (xy 135.602636 98.887759) + (xy 135.734942 98.942562) + (xy 135.875397 98.9705) + (xy 136.018603 98.9705) + (xy 136.159058 98.942562) + (xy 136.286436 98.8898) + (xy 136.294595 98.916696) + (xy 136.334245 98.990876) + (xy 136.387605 99.055895) + (xy 136.452624 99.109255) + (xy 136.526804 99.148905) + (xy 136.607293 99.173322) + (xy 136.691 99.181566) + (xy 137.091 99.181566) + (xy 137.126001 99.178119) + (xy 137.126001 99.576881) + (xy 137.091 99.573434) + (xy 136.803039 99.573434) + (xy 136.801259 99.569136) + (xy 136.721698 99.450064) + (xy 136.620436 99.348802) + (xy 136.501364 99.269241) + (xy 136.369058 99.214438) + (xy 136.228603 99.1865) + (xy 136.085397 99.1865) + (xy 135.944942 99.214438) + (xy 135.812636 99.269241) + (xy 135.693564 99.348802) + (xy 135.592302 99.450064) + (xy 135.512741 99.569136) + (xy 135.457938 99.701442) + (xy 135.43 99.841897) + (xy 135.43 99.985103) + (xy 135.457938 100.125558) + (xy 135.512741 100.257864) + (xy 135.592302 100.376936) + (xy 135.693564 100.478198) + (xy 135.812636 100.557759) + (xy 135.944942 100.612562) + (xy 136.085397 100.6405) + (xy 136.13871 100.6405) + (xy 136.180049 100.681839) + (xy 136.196552 100.701948) + (xy 136.276798 100.767804) + (xy 136.280337 100.769696) + (xy 136.294595 100.816696) + (xy 136.334245 100.890876) + (xy 136.387605 100.955895) + (xy 136.417586 100.9805) + (xy 134.174291 100.9805) + (xy 133.75 100.556211) + (xy 133.75 97.117381) + (xy 133.752549 97.0915) + (xy 133.742374 96.98819) + (xy 133.73998 96.980299) + (xy 133.712239 96.88885) + (xy 133.663304 96.797298) + (xy 133.597448 96.717052) + (xy 133.577339 96.700549) + (xy 132.386664 95.509874) + (xy 132.418926 95.431987) + (xy 132.463074 95.210038) + (xy 132.465397 95.2105) + (xy 132.608603 95.2105) + (xy 132.749058 95.182562) + (xy 132.881364 95.127759) + (xy 133.000436 95.048198) + (xy 133.038134 95.0105) + (xy 133.311119 95.0105) + (xy 133.337 95.013049) + (xy 133.362881 95.0105) + (xy 133.44031 95.002874) + (xy 133.53965 94.972739) + (xy 133.631202 94.923804) + (xy 133.711448 94.857948) + (xy 133.727955 94.837834) + (xy 134.08529 94.4805) + (xy 134.138603 94.4805) + (xy 134.279058 94.452562) + (xy 134.411364 94.397759) + (xy 134.530436 94.318198) + (xy 134.631698 94.216936) + (xy 134.711259 94.097864) + (xy 134.766062 93.965558) + (xy 134.794 93.825103) + (xy 134.794 93.681897) + (xy 134.766062 93.541442) + (xy 134.711259 93.409136) + (xy 134.631698 93.290064) + (xy 134.530436 93.188802) + (xy 134.411364 93.109241) + (xy 134.279058 93.054438) + (xy 134.138603 93.0265) + (xy 133.995397 93.0265) + (xy 133.854942 93.054438) + (xy 133.722636 93.109241) + (xy 133.603564 93.188802) + (xy 133.502302 93.290064) + (xy 133.422741 93.409136) + (xy 133.367938 93.541442) + (xy 133.34 93.681897) + (xy 133.34 93.73521) + (xy 133.118711 93.9565) + (xy 133.038134 93.9565) + (xy 133.000436 93.918802) + (xy 132.881364 93.839241) + (xy 132.749058 93.784438) + (xy 132.608603 93.7565) + (xy 132.465397 93.7565) + (xy 132.324942 93.784438) + (xy 132.192636 93.839241) + (xy 132.073564 93.918802) + (xy 131.972302 94.020064) + (xy 131.960453 94.037797) + (xy 131.795886 93.927837) + (xy 131.563487 93.831574) + (xy 131.316774 93.7825) + (xy 131.255063 93.7825) + (xy 131.314489 93.639034) + (xy 131.346271 93.479259) + (xy 131.346271 93.316355) + (xy 131.314489 93.15658) + (xy 131.252148 93.006076) + (xy 131.161643 92.870626) + (xy 131.046452 92.755435) + (xy 130.911002 92.66493) + (xy 130.760498 92.602589) + (xy 130.600723 92.570807) + (xy 130.59 92.570807) + (xy 130.59 92.225132) + (xy 130.619755 92.188876) + (xy 130.659405 92.114696) + (xy 130.683822 92.034207) + (xy 130.692066 91.9505) + (xy 130.692066 91.3005) + (xy 130.683822 91.216793) + (xy 130.659405 91.136304) + (xy 130.619755 91.062124) + (xy 130.566395 90.997105) + (xy 130.501376 90.943745) + (xy 130.427196 90.904095) + (xy 130.346707 90.879678) + (xy 130.263 90.871434) + (xy 129.863 90.871434) + (xy 129.779293 90.879678) + (xy 129.738 90.892205) + (xy 129.696707 90.879678) + (xy 129.613 90.871434) + (xy 129.433646 90.871434) + (xy 129.425192 90.860597) + (xy 129.33049 90.778881) + (xy 129.221666 90.71721) + (xy 129.102902 90.677954) + (xy 128.99475 90.6655) + (xy 128.836 90.82425) + (xy 128.836 91.1) + (xy 128.816595 91.136304) + (xy 128.792178 91.216793) + (xy 128.783934 91.3005) + (xy 128.783934 91.9505) + (xy 128.792178 92.034207) + (xy 128.816595 92.114696) + (xy 128.836 92.151) + (xy 128.836 92.42675) + (xy 128.886001 92.476751) + (xy 128.886001 93.25561) + (xy 128.883451 93.2815) + (xy 128.893626 93.384809) + (xy 128.923761 93.484149) + (xy 128.972696 93.575701) + (xy 128.972697 93.575702) + (xy 129.038553 93.655948) + (xy 129.058662 93.672451) + (xy 129.995336 94.609126) + (xy 129.963074 94.687013) + (xy 129.914 94.933726) + (xy 129.914 95.185274) + (xy 129.963074 95.431987) + (xy 130.059337 95.664386) + (xy 130.199089 95.87354) + (xy 130.37696 96.051411) + (xy 130.586114 96.191163) + (xy 130.818513 96.287426) + (xy 131.030034 96.3295) + (xy 130.818513 96.371574) + (xy 130.586114 96.467837) + (xy 130.37696 96.607589) + (xy 130.199089 96.78546) + (xy 130.059337 96.994614) + (xy 129.963074 97.227013) + (xy 129.914 97.473726) + (xy 129.914 97.725274) + (xy 129.963074 97.971987) + (xy 129.995336 98.049874) + (xy 129.312666 98.732545) + (xy 129.292552 98.749052) + (xy 129.226696 98.829298) + (xy 129.202536 98.8745) + (xy 129.177761 98.920851) + (xy 129.147626 99.020191) + (xy 129.137451 99.1235) + (xy 129.14 99.149381) + (xy 129.140001 104.685609) + (xy 129.137451 104.7115) + (xy 129.147626 104.81481) + (xy 129.177761 104.91415) + (xy 129.226696 105.005702) + (xy 129.292552 105.085948) + (xy 129.372798 105.151804) + (xy 129.46435 105.200739) + (xy 129.56369 105.230874) + (xy 129.641119 105.2385) + (xy 129.667 105.241049) + (xy 129.692881 105.2385) + (xy 135.740441 105.2385) + (xy 137.098012 106.596072) + (xy 137.098012 106.649385) + (xy 137.12595 106.78984) + (xy 137.180753 106.922146) + (xy 137.260314 107.041218) + (xy 137.361576 107.14248) + (xy 137.386425 107.159084) + (xy 137.298661 107.246848) + (xy 137.278553 107.26335) + (xy 137.275876 107.266611) + (xy 137.203 107.259434) + (xy 136.803 107.259434) + (xy 136.719293 107.267678) + (xy 136.638804 107.292095) + (xy 136.564624 107.331745) + (xy 136.499605 107.385105) + (xy 136.446245 107.450124) + (xy 136.426802 107.4865) + (xy 136.306019 107.4865) + (xy 136.241995 107.443721) + (xy 136.109689 107.388918) + (xy 135.969234 107.36098) + (xy 135.826028 107.36098) + (xy 135.685573 107.388918) + (xy 135.553267 107.443721) + (xy 135.434195 107.523282) + (xy 135.415799 107.541678) + (xy 135.399441 107.490058) + (xy 135.339118 107.38048) + (xy 135.258578 107.284776) + (xy 135.160914 107.206624) + (xy 135.049879 107.149027) + (xy 134.929742 107.114199) + (xy 134.805119 107.103477) + (xy 134.77875 107.1065) + (xy 134.62 107.26525) + (xy 134.62 107.8845) + (xy 134.64 107.8845) + (xy 134.64 108.1385) + (xy 134.62 108.1385) + (xy 134.62 108.1585) + (xy 134.366 108.1585) + (xy 134.366 108.1385) + (xy 133.69675 108.1385) + (xy 133.538 108.29725) + (xy 133.548774 108.413702) + (xy 133.586559 108.532942) + (xy 133.646882 108.64252) + (xy 133.727422 108.738224) + (xy 133.761203 108.765256) + (xy 133.754772 108.786456) + (xy 133.743934 108.8965) + (xy 133.743934 109.1665) + (xy 133.754772 109.276544) + (xy 133.786871 109.382359) + (xy 133.838996 109.479878) + (xy 133.909145 109.565355) + (xy 133.994622 109.635504) + (xy 134.092141 109.687629) + (xy 134.197956 109.719728) + (xy 134.308 109.730566) + (xy 134.678 109.730566) + (xy 134.788044 109.719728) + (xy 134.893859 109.687629) + (xy 134.991378 109.635504) + (xy 135.056698 109.581897) + (xy 135.44 109.581897) + (xy 135.44 109.725103) + (xy 135.467938 109.865558) + (xy 135.522741 109.997864) + (xy 135.602302 110.116936) + (xy 135.703564 110.218198) + (xy 135.822636 110.297759) + (xy 135.954942 110.352562) + (xy 136.095397 110.3805) + (xy 136.182743 110.3805) + (xy 136.22435 110.402739) + (xy 136.306733 110.42773) + (xy 136.32369 110.432874) + (xy 136.427 110.443049) + (xy 136.428106 110.44294) + (xy 136.446245 110.476876) + (xy 136.499605 110.541895) + (xy 136.564624 110.595255) + (xy 136.638804 110.634905) + (xy 136.719293 110.659322) + (xy 136.803 110.667566) + (xy 137.203 110.667566) + (xy 137.286707 110.659322) + (xy 137.367196 110.634905) + (xy 137.441376 110.595255) + (xy 137.506395 110.541895) + (xy 137.559755 110.476876) + (xy 137.599405 110.402696) + (xy 137.623822 110.322207) + (xy 137.632066 110.2385) + (xy 137.632066 109.5885) + (xy 137.673934 109.5885) + (xy 137.673934 110.2385) + (xy 137.682178 110.322207) + (xy 137.706595 110.402696) + (xy 137.746245 110.476876) + (xy 137.799605 110.541895) + (xy 137.864624 110.595255) + (xy 137.938804 110.634905) + (xy 138.019293 110.659322) + (xy 138.103 110.667566) + (xy 138.503 110.667566) + (xy 138.586707 110.659322) + (xy 138.667196 110.634905) + (xy 138.741376 110.595255) + (xy 138.806395 110.541895) + (xy 138.859755 110.476876) + (xy 138.879198 110.4405) + (xy 138.928042 110.4405) + (xy 138.990731 110.503189) + (xy 139.109803 110.58275) + (xy 139.242109 110.637553) + (xy 139.382564 110.665491) + (xy 139.52577 110.665491) + (xy 139.666225 110.637553) + (xy 139.798531 110.58275) + (xy 139.917603 110.503189) + (xy 140.018865 110.401927) + (xy 140.098426 110.282855) + (xy 140.153229 110.150549) + (xy 140.181167 110.010094) + (xy 140.181167 109.866888) + (xy 140.153229 109.726433) + (xy 140.098426 109.594127) + (xy 140.018865 109.475055) + (xy 139.917603 109.373793) + (xy 139.798531 109.294232) + (xy 139.666225 109.239429) + (xy 139.52577 109.211491) + (xy 139.382564 109.211491) + (xy 139.242109 109.239429) + (xy 139.109803 109.294232) + (xy 138.990731 109.373793) + (xy 138.978024 109.3865) + (xy 138.879198 109.3865) + (xy 138.859755 109.350124) + (xy 138.806395 109.285105) + (xy 138.741376 109.231745) + (xy 138.667196 109.192095) + (xy 138.586707 109.167678) + (xy 138.503 109.159434) + (xy 138.103 109.159434) + (xy 138.019293 109.167678) + (xy 137.938804 109.192095) + (xy 137.864624 109.231745) + (xy 137.799605 109.285105) + (xy 137.746245 109.350124) + (xy 137.706595 109.424304) + (xy 137.682178 109.504793) + (xy 137.673934 109.5885) + (xy 137.632066 109.5885) + (xy 137.623822 109.504793) + (xy 137.599405 109.424304) + (xy 137.559755 109.350124) + (xy 137.506395 109.285105) + (xy 137.441376 109.231745) + (xy 137.367196 109.192095) + (xy 137.286707 109.167678) + (xy 137.203 109.159434) + (xy 136.803 109.159434) + (xy 136.719293 109.167678) + (xy 136.711635 109.170001) + (xy 136.630436 109.088802) + (xy 136.511364 109.009241) + (xy 136.379058 108.954438) + (xy 136.238603 108.9265) + (xy 136.095397 108.9265) + (xy 135.954942 108.954438) + (xy 135.822636 109.009241) + (xy 135.703564 109.088802) + (xy 135.602302 109.190064) + (xy 135.522741 109.309136) + (xy 135.467938 109.441442) + (xy 135.44 109.581897) + (xy 135.056698 109.581897) + (xy 135.076855 109.565355) + (xy 135.09912 109.538224) + (xy 135.156761 109.520739) + (xy 135.248313 109.471804) + (xy 135.328559 109.405948) + (xy 135.345066 109.385834) + (xy 135.915921 108.81498) + (xy 135.969234 108.81498) + (xy 136.109689 108.787042) + (xy 136.241995 108.732239) + (xy 136.361067 108.652678) + (xy 136.442979 108.570766) + (xy 136.446245 108.576876) + (xy 136.499605 108.641895) + (xy 136.564624 108.695255) + (xy 136.638804 108.734905) + (xy 136.719293 108.759322) + (xy 136.803 108.767566) + (xy 137.203 108.767566) + (xy 137.286707 108.759322) + (xy 137.328 108.746795) + (xy 137.369293 108.759322) + (xy 137.453 108.767566) + (xy 137.632354 108.767566) + (xy 137.640808 108.778403) + (xy 137.73551 108.860119) + (xy 137.844334 108.92179) + (xy 137.963098 108.961046) + (xy 138.07125 108.9735) + (xy 138.23 108.81475) + (xy 138.23 108.539) + (xy 138.249405 108.502696) + (xy 138.273822 108.422207) + (xy 138.282066 108.3385) + (xy 138.282066 108.1405) + (xy 138.376 108.1405) + (xy 138.376 108.81475) + (xy 138.53475 108.9735) + (xy 138.642902 108.961046) + (xy 138.761666 108.92179) + (xy 138.87049 108.860119) + (xy 138.965192 108.778403) + (xy 139.042132 108.679781) + (xy 139.098353 108.568044) + (xy 139.131695 108.447486) + (xy 139.140877 108.322739) + (xy 139.138 108.29925) + (xy 138.97925 108.1405) + (xy 138.376 108.1405) + (xy 138.282066 108.1405) + (xy 138.282066 107.8665) + (xy 138.376 107.8665) + (xy 138.376 107.8865) + (xy 138.97925 107.8865) + (xy 139.138 107.72775) + (xy 139.140877 107.704261) + (xy 139.131695 107.579514) + (xy 139.098353 107.458956) + (xy 139.042132 107.347219) + (xy 138.965192 107.248597) + (xy 138.87049 107.166881) + (xy 138.863098 107.162692) + (xy 138.865318 107.159986) + (xy 138.914253 107.068434) + (xy 138.944388 106.969094) + (xy 138.952014 106.891665) + (xy 138.952014 106.891664) + (xy 138.954563 106.865784) + (xy 138.952014 106.839903) + (xy 138.952014 105.208739) + (xy 148.499123 105.208739) + (xy 148.508305 105.333486) + (xy 148.541647 105.454044) + (xy 148.597868 105.565781) + (xy 148.674808 105.664403) + (xy 148.76951 105.746119) + (xy 148.878334 105.80779) + (xy 148.997098 105.847046) + (xy 149.10525 105.8595) + (xy 149.264 105.70075) + (xy 149.264 105.0265) + (xy 148.66075 105.0265) + (xy 148.502 105.18525) + (xy 148.499123 105.208739) + (xy 138.952014 105.208739) + (xy 138.952014 102.631897) + (xy 142.06 102.631897) + (xy 142.06 102.775103) + (xy 142.087938 102.915558) + (xy 142.142741 103.047864) + (xy 142.222302 103.166936) + (xy 142.323564 103.268198) + (xy 142.442636 103.347759) + (xy 142.574942 103.402562) + (xy 142.715397 103.4305) + (xy 142.858603 103.4305) + (xy 142.999058 103.402562) + (xy 143.131364 103.347759) + (xy 143.250436 103.268198) + (xy 143.351698 103.166936) + (xy 143.431259 103.047864) + (xy 143.486062 102.915558) + (xy 143.514 102.775103) + (xy 143.514 102.631897) + (xy 143.495188 102.537321) + (xy 143.496549 102.523501) + (xy 143.494 102.497623) + (xy 143.494 102.210193) + (xy 143.542741 102.327864) + (xy 143.620001 102.443492) + (xy 143.62 103.672366) + (xy 143.582302 103.710064) + (xy 143.502741 103.829136) + (xy 143.447938 103.961442) + (xy 143.42 104.101897) + (xy 143.42 104.245103) + (xy 143.447938 104.385558) + (xy 143.502741 104.517864) + (xy 143.582302 104.636936) + (xy 143.683564 104.738198) + (xy 143.802636 104.817759) + (xy 143.934942 104.872562) + (xy 144.075397 104.9005) + (xy 144.218603 104.9005) + (xy 144.359058 104.872562) + (xy 144.491364 104.817759) + (xy 144.610436 104.738198) + (xy 144.711698 104.636936) + (xy 144.742885 104.590261) + (xy 148.499123 104.590261) + (xy 148.502 104.61375) + (xy 148.66075 104.7725) + (xy 149.264 104.7725) + (xy 149.264 104.09825) + (xy 149.10525 103.9395) + (xy 148.997098 103.951954) + (xy 148.878334 103.99121) + (xy 148.76951 104.052881) + (xy 148.674808 104.134597) + (xy 148.597868 104.233219) + (xy 148.541647 104.344956) + (xy 148.508305 104.465514) + (xy 148.499123 104.590261) + (xy 144.742885 104.590261) + (xy 144.791259 104.517864) + (xy 144.846062 104.385558) + (xy 144.874 104.245103) + (xy 144.874 104.101897) + (xy 144.846062 103.961442) + (xy 144.791259 103.829136) + (xy 144.711698 103.710064) + (xy 144.674 103.672366) + (xy 144.674 102.524634) + (xy 144.751698 102.446936) + (xy 144.831259 102.327864) + (xy 144.886062 102.195558) + (xy 144.914 102.055103) + (xy 144.914 101.911897) + (xy 144.886062 101.771442) + (xy 144.831259 101.639136) + (xy 144.751698 101.520064) + (xy 144.650436 101.418802) + (xy 144.531364 101.339241) + (xy 144.399058 101.284438) + (xy 144.258603 101.2565) + (xy 144.115397 101.2565) + (xy 143.974942 101.284438) + (xy 143.842636 101.339241) + (xy 143.723564 101.418802) + (xy 143.694 101.448366) + (xy 143.694 101.381897) + (xy 143.666062 101.241442) + (xy 143.611259 101.109136) + (xy 143.531698 100.990064) + (xy 143.430436 100.888802) + (xy 143.311364 100.809241) + (xy 143.179058 100.754438) + (xy 143.038603 100.7265) + (xy 142.895397 100.7265) + (xy 142.754942 100.754438) + (xy 142.622636 100.809241) + (xy 142.503564 100.888802) + (xy 142.402302 100.990064) + (xy 142.322741 101.109136) + (xy 142.267938 101.241442) + (xy 142.24 101.381897) + (xy 142.24 101.525103) + (xy 142.267938 101.665558) + (xy 142.322741 101.797864) + (xy 142.402302 101.916936) + (xy 142.44 101.954634) + (xy 142.440001 102.061002) + (xy 142.323564 102.138802) + (xy 142.222302 102.240064) + (xy 142.142741 102.359136) + (xy 142.087938 102.491442) + (xy 142.06 102.631897) + (xy 138.952014 102.631897) + (xy 138.952014 102.305395) + (xy 138.954563 102.279514) + (xy 138.944388 102.176204) + (xy 138.929401 102.1268) + (xy 138.914253 102.076864) + (xy 138.865318 101.985312) + (xy 138.799462 101.905066) + (xy 138.779358 101.888567) + (xy 138.18 101.289211) + (xy 138.18 101.081566) + (xy 138.391 101.081566) + (xy 138.474707 101.073322) + (xy 138.510634 101.062423) + (xy 139 101.55179) + (xy 139 101.605103) + (xy 139.027938 101.745558) + (xy 139.082741 101.877864) + (xy 139.162302 101.996936) + (xy 139.263564 102.098198) + (xy 139.382636 102.177759) + (xy 139.514942 102.232562) + (xy 139.655397 102.2605) + (xy 139.798603 102.2605) + (xy 139.939058 102.232562) + (xy 140.071364 102.177759) + (xy 140.190436 102.098198) + (xy 140.291698 101.996936) + (xy 140.371259 101.877864) + (xy 140.426062 101.745558) + (xy 140.454 101.605103) + (xy 140.454 101.461897) + (xy 140.426062 101.321442) + (xy 140.371259 101.189136) + (xy 140.291698 101.070064) + (xy 140.190436 100.968802) + (xy 140.071364 100.889241) + (xy 139.939058 100.834438) + (xy 139.798603 100.8065) + (xy 139.74529 100.8065) + (xy 139.63627 100.69748) + (xy 139.7 100.63375) + (xy 139.7 100.0145) + (xy 139.954 100.0145) + (xy 139.954 100.63375) + (xy 140.11275 100.7925) + (xy 140.139119 100.795523) + (xy 140.263742 100.784801) + (xy 140.383879 100.749973) + (xy 140.494914 100.692376) + (xy 140.592578 100.614224) + (xy 140.673118 100.51852) + (xy 140.733441 100.408942) + (xy 140.771226 100.289702) + (xy 140.782 100.17325) + (xy 140.62325 100.0145) + (xy 139.954 100.0145) + (xy 139.7 100.0145) + (xy 139.03075 100.0145) + (xy 138.99202 100.05323) + (xy 138.911955 99.973166) + (xy 138.895448 99.953052) + (xy 138.815202 99.887196) + (xy 138.799728 99.878925) + (xy 138.787405 99.838304) + (xy 138.747755 99.764124) + (xy 138.694395 99.699105) + (xy 138.629376 99.645745) + (xy 138.555196 99.606095) + (xy 138.474707 99.581678) + (xy 138.391 99.573434) + (xy 138.18 99.573434) + (xy 138.18 98.565381) + (xy 138.182549 98.5395) + (xy 138.172374 98.43619) + (xy 138.170066 98.428582) + (xy 138.170066 98.275589) + (xy 138.19194 98.385558) + (xy 138.246743 98.517864) + (xy 138.271222 98.5545) + (xy 138.264 98.5545) + (xy 138.264 99.22875) + (xy 138.42275 99.3875) + (xy 138.530902 99.375046) + (xy 138.649666 99.33579) + (xy 138.75849 99.274119) + (xy 138.853192 99.192403) + (xy 138.930132 99.093781) + (xy 138.975609 99.003397) + (xy 139.090521 99.118308) + (xy 139.095203 99.133744) + (xy 139.061422 99.160776) + (xy 138.980882 99.25648) + (xy 138.920559 99.366058) + (xy 138.882774 99.485298) + (xy 138.872 99.60175) + (xy 139.03075 99.7605) + (xy 139.7 99.7605) + (xy 139.7 99.7405) + (xy 139.954 99.7405) + (xy 139.954 99.7605) + (xy 140.62325 99.7605) + (xy 140.782 99.60175) + (xy 140.771226 99.485298) + (xy 140.733441 99.366058) + (xy 140.673118 99.25648) + (xy 140.592578 99.160776) + (xy 140.558797 99.133744) + (xy 140.565228 99.112544) + (xy 140.576066 99.0025) + (xy 140.576066 98.7325) + (xy 140.565228 98.622456) + (xy 140.533129 98.516641) + (xy 140.481004 98.419122) + (xy 140.410855 98.333645) + (xy 140.325378 98.263496) + (xy 140.227859 98.211371) + (xy 140.122044 98.179272) + (xy 140.012 98.168434) + (xy 139.642 98.168434) + (xy 139.632192 98.1694) + (xy 139.618002 98.15521) + (xy 139.618002 98.101897) + (xy 139.590064 97.961442) + (xy 139.535261 97.829136) + (xy 139.4557 97.710064) + (xy 139.354438 97.608802) + (xy 139.235366 97.529241) + (xy 139.10306 97.474438) + (xy 138.962605 97.4465) + (xy 138.819399 97.4465) + (xy 138.678944 97.474438) + (xy 138.605833 97.504722) + (xy 138.530902 97.479954) + (xy 138.42275 97.4675) + (xy 138.264 97.62625) + (xy 138.264 97.803309) + (xy 138.246743 97.829136) + (xy 138.19194 97.961442) + (xy 138.168018 98.081706) + (xy 138.161822 98.018793) + (xy 138.137405 97.938304) + (xy 138.118 97.902) + (xy 138.118 97.62625) + (xy 138.068 97.57625) + (xy 138.068 94.291381) + (xy 138.070549 94.265503) + (xy 138.068 94.239623) + (xy 138.060374 94.162194) + (xy 138.047393 94.119404) + (xy 138.051364 94.117759) + (xy 138.170436 94.038198) + (xy 138.208134 94.0005) + (xy 139.021119 94.0005) + (xy 139.047 94.003049) + (xy 139.072881 94.0005) + (xy 139.15031 93.992874) + (xy 139.24965 93.962739) + (xy 139.341202 93.913804) + (xy 139.421448 93.847948) + (xy 139.437955 93.827834) + (xy 140.831339 92.434451) + (xy 140.851448 92.417948) + (xy 140.917304 92.337702) + (xy 140.966239 92.24615) + (xy 140.996374 92.14681) + (xy 141.004 92.069381) + (xy 141.004 92.069379) + (xy 141.006549 92.043501) + (xy 141.004 92.017623) + (xy 141.004 91.275132) + (xy 141.033755 91.238876) + (xy 141.073405 91.164696) + (xy 141.097822 91.084207) + (xy 141.106066 91.0005) + (xy 141.106066 90.984739) + (xy 141.895123 90.984739) + (xy 141.904305 91.109486) + (xy 141.937647 91.230044) + (xy 141.993868 91.341781) + (xy 142.070808 91.440403) + (xy 142.16551 91.522119) + (xy 142.274334 91.58379) + (xy 142.393098 91.623046) + (xy 142.50125 91.6355) + (xy 142.66 91.47675) + (xy 142.66 90.8025) + (xy 142.05675 90.8025) + (xy 141.898 90.96125) + (xy 141.895123 90.984739) + (xy 141.106066 90.984739) + (xy 141.106066 90.3505) + (xy 141.097822 90.266793) + (xy 141.073405 90.186304) + (xy 141.033755 90.112124) + (xy 140.986464 90.0545) + (xy 141.971085 90.0545) + (xy 141.937647 90.120956) + (xy 141.904305 90.241514) + (xy 141.895123 90.366261) + (xy 141.898 90.38975) + (xy 142.05675 90.5485) + (xy 142.66 90.5485) + (xy 142.66 90.5285) + (xy 142.753934 90.5285) + (xy 142.753934 91.0005) + (xy 142.762178 91.084207) + (xy 142.786595 91.164696) + (xy 142.806 91.201) + (xy 142.806 91.47675) + (xy 142.856 91.52675) + (xy 142.856001 94.8465) + (xy 142.755397 94.8465) + (xy 142.614942 94.874438) + (xy 142.482636 94.929241) + (xy 142.363564 95.008802) + (xy 142.262302 95.110064) + (xy 142.182741 95.229136) + (xy 142.127938 95.361442) + (xy 142.1 95.501897) + (xy 142.1 95.645103) + (xy 142.127938 95.785558) + (xy 142.182741 95.917864) + (xy 142.262302 96.036936) + (xy 142.363564 96.138198) + (xy 142.392188 96.157324) + (xy 142.390595 96.160304) + (xy 142.366178 96.240793) + (xy 142.357934 96.3245) + (xy 142.357934 96.6863) + (xy 142.350436 96.678802) + (xy 142.231364 96.599241) + (xy 142.099058 96.544438) + (xy 141.958603 96.5165) + (xy 141.815397 96.5165) + (xy 141.674942 96.544438) + (xy 141.542636 96.599241) + (xy 141.423564 96.678802) + (xy 141.322302 96.780064) + (xy 141.242741 96.899136) + (xy 141.187938 97.031442) + (xy 141.16 97.171897) + (xy 141.16 97.315103) + (xy 141.187938 97.455558) + (xy 141.242741 97.587864) + (xy 141.263599 97.61908) + (xy 141.203735 97.708673) + (xy 141.148932 97.840979) + (xy 141.120994 97.981434) + (xy 141.120994 98.12464) + (xy 141.148932 98.265095) + (xy 141.203735 98.397401) + (xy 141.283296 98.516473) + (xy 141.384558 98.617735) + (xy 141.50363 98.697296) + (xy 141.635936 98.752099) + (xy 141.776391 98.780037) + (xy 141.829704 98.780037) + (xy 141.95351 98.903844) + (xy 141.970009 98.923948) + (xy 142.050255 98.989804) + (xy 142.141807 99.038739) + (xy 142.195751 99.055103) + (xy 142.241147 99.068874) + (xy 142.344457 99.079049) + (xy 142.370338 99.0765) + (xy 142.410802 99.0765) + (xy 142.430245 99.112876) + (xy 142.483605 99.177895) + (xy 142.548624 99.231255) + (xy 142.622804 99.270905) + (xy 142.703293 99.295322) + (xy 142.787 99.303566) + (xy 143.187 99.303566) + (xy 143.270707 99.295322) + (xy 143.351196 99.270905) + (xy 143.425376 99.231255) + (xy 143.490395 99.177895) + (xy 143.543755 99.112876) + (xy 143.583405 99.038696) + (xy 143.607822 98.958207) + (xy 143.616066 98.8745) + (xy 143.616066 98.623856) + (xy 143.657934 98.665724) + (xy 143.657934 98.8745) + (xy 143.666178 98.958207) + (xy 143.690595 99.038696) + (xy 143.730245 99.112876) + (xy 143.783605 99.177895) + (xy 143.848624 99.231255) + (xy 143.922804 99.270905) + (xy 144.003293 99.295322) + (xy 144.087 99.303566) + (xy 144.487 99.303566) + (xy 144.570707 99.295322) + (xy 144.651196 99.270905) + (xy 144.725376 99.231255) + (xy 144.790395 99.177895) + (xy 144.843755 99.112876) + (xy 144.883405 99.038696) + (xy 144.907822 98.958207) + (xy 144.916066 98.8745) + (xy 144.916066 98.7505) + (xy 145.495866 98.7505) + (xy 145.533564 98.788198) + (xy 145.652636 98.867759) + (xy 145.784942 98.922562) + (xy 145.925397 98.9505) + (xy 146.068603 98.9505) + (xy 146.209058 98.922562) + (xy 146.341364 98.867759) + (xy 146.460436 98.788198) + (xy 146.561698 98.686936) + (xy 146.641259 98.567864) + (xy 146.696062 98.435558) + (xy 146.724 98.295103) + (xy 146.724 98.151897) + (xy 146.696062 98.011442) + (xy 146.641259 97.879136) + (xy 146.589385 97.801501) + (xy 148.024057 97.801501) + (xy 148.02 97.821897) + (xy 148.02 97.965103) + (xy 148.047938 98.105558) + (xy 148.102741 98.237864) + (xy 148.182302 98.356936) + (xy 148.283564 98.458198) + (xy 148.402636 98.537759) + (xy 148.534942 98.592562) + (xy 148.595934 98.604694) + (xy 148.595934 98.7525) + (xy 148.604178 98.836207) + (xy 148.628595 98.916696) + (xy 148.668245 98.990876) + (xy 148.721605 99.055895) + (xy 148.786624 99.109255) + (xy 148.860804 99.148905) + (xy 148.941293 99.173322) + (xy 149.025 99.181566) + (xy 149.348 99.181566) + (xy 149.348 99.573434) + (xy 149.025 99.573434) + (xy 148.941293 99.581678) + (xy 148.860804 99.606095) + (xy 148.786624 99.645745) + (xy 148.721605 99.699105) + (xy 148.668245 99.764124) + (xy 148.628595 99.838304) + (xy 148.604178 99.918793) + (xy 148.595934 100.0025) + (xy 148.595934 100.6525) + (xy 148.604178 100.736207) + (xy 148.62138 100.792912) + (xy 148.505335 100.87045) + (xy 148.404073 100.971712) + (xy 148.324512 101.090784) + (xy 148.269709 101.22309) + (xy 148.241771 101.363545) + (xy 148.241771 101.506751) + (xy 148.269709 101.647206) + (xy 148.324512 101.779512) + (xy 148.404073 101.898584) + (xy 148.505335 101.999846) + (xy 148.624407 102.079407) + (xy 148.756713 102.13421) + (xy 148.897168 102.162148) + (xy 149.040374 102.162148) + (xy 149.052039 102.159828) + (xy 149.137645 102.245434) + (xy 149.137 102.245434) + (xy 149.053293 102.253678) + (xy 148.972804 102.278095) + (xy 148.898624 102.317745) + (xy 148.833605 102.371105) + (xy 148.780245 102.436124) + (xy 148.760802 102.4725) + (xy 148.607117 102.4725) + (xy 148.476511 102.446521) + (xy 148.333305 102.446521) + (xy 148.19285 102.474459) + (xy 148.060544 102.529262) + (xy 147.941472 102.608823) + (xy 147.84021 102.710085) + (xy 147.760649 102.829157) + (xy 147.705846 102.961463) + (xy 147.677908 103.101918) + (xy 147.677908 103.245124) + (xy 147.705846 103.385579) + (xy 147.760649 103.517885) + (xy 147.84021 103.636957) + (xy 147.941472 103.738219) + (xy 148.060544 103.81778) + (xy 148.19285 103.872583) + (xy 148.333305 103.900521) + (xy 148.476511 103.900521) + (xy 148.616966 103.872583) + (xy 148.749272 103.81778) + (xy 148.868344 103.738219) + (xy 148.916013 103.69055) + (xy 148.972804 103.720905) + (xy 149.053293 103.745322) + (xy 149.137 103.753566) + (xy 149.537 103.753566) + (xy 149.620707 103.745322) + (xy 149.701196 103.720905) + (xy 149.775376 103.681255) + (xy 149.840395 103.627895) + (xy 149.893755 103.562876) + (xy 149.933405 103.488696) + (xy 149.957822 103.408207) + (xy 149.966066 103.3245) + (xy 149.966066 103.073857) + (xy 150.007934 103.115725) + (xy 150.007934 103.3245) + (xy 150.016178 103.408207) + (xy 150.040595 103.488696) + (xy 150.080245 103.562876) + (xy 150.133605 103.627895) + (xy 150.198624 103.681255) + (xy 150.248368 103.707844) + (xy 150.209983 103.746229) + (xy 150.196998 103.74495) + (xy 150.17112 103.747499) + (xy 150.171118 103.747499) + (xy 150.093689 103.755125) + (xy 149.994349 103.78526) + (xy 149.902797 103.834195) + (xy 149.822551 103.900051) + (xy 149.806044 103.920165) + (xy 149.75007 103.976139) + (xy 149.676902 103.951954) + (xy 149.56875 103.9395) + (xy 149.41 104.09825) + (xy 149.41 104.374) + (xy 149.390595 104.410304) + (xy 149.366178 104.490793) + (xy 149.357934 104.5745) + (xy 149.357934 105.2245) + (xy 149.366178 105.308207) + (xy 149.390595 105.388696) + (xy 149.41 105.425) + (xy 149.41 105.70075) + (xy 149.56875 105.8595) + (xy 149.676902 105.847046) + (xy 149.795666 105.80779) + (xy 149.90449 105.746119) + (xy 149.999192 105.664403) + (xy 150.007646 105.653566) + (xy 150.109427 105.653566) + (xy 150.117626 105.736809) + (xy 150.147761 105.836149) + (xy 150.18769 105.910851) + (xy 150.196697 105.927702) + (xy 150.262553 106.007948) + (xy 150.282661 106.02445) + (xy 150.39 106.13179) + (xy 150.39 106.185103) + (xy 150.417938 106.325558) + (xy 150.472741 106.457864) + (xy 150.552302 106.576936) + (xy 150.653564 106.678198) + (xy 150.772636 106.757759) + (xy 150.904942 106.812562) + (xy 151.045397 106.8405) + (xy 151.188603 106.8405) + (xy 151.329058 106.812562) + (xy 151.461364 106.757759) + (xy 151.580436 106.678198) + (xy 151.681698 106.576936) + (xy 151.761259 106.457864) + (xy 151.816062 106.325558) + (xy 151.844 106.185103) + (xy 151.844 106.041897) + (xy 151.816062 105.901442) + (xy 151.761259 105.769136) + (xy 151.681698 105.650064) + (xy 151.580436 105.548802) + (xy 151.461364 105.469241) + (xy 151.329058 105.414438) + (xy 151.23016 105.394766) + (xy 151.233405 105.388696) + (xy 151.257822 105.308207) + (xy 151.266066 105.2245) + (xy 151.266066 104.5745) + (xy 151.257822 104.490793) + (xy 151.233405 104.410304) + (xy 151.193755 104.336124) + (xy 151.156303 104.290489) + (xy 151.521344 103.925449) + (xy 151.541448 103.90895) + (xy 151.607304 103.828704) + (xy 151.656239 103.737152) + (xy 151.686374 103.637812) + (xy 151.694 103.560383) + (xy 151.696549 103.534502) + (xy 151.694 103.508621) + (xy 151.694 102.721789) + (xy 151.865299 102.550491) + (xy 152.56 102.550491) + (xy 152.56 102.675103) + (xy 152.587938 102.815558) + (xy 152.642741 102.947864) + (xy 152.722302 103.066936) + (xy 152.760332 103.104966) + (xy 152.66369 103.201608) + (xy 152.584129 103.32068) + (xy 152.529326 103.452986) + (xy 152.501388 103.593441) + (xy 152.501388 103.736647) + (xy 152.529326 103.877102) + (xy 152.584129 104.009408) + (xy 152.66369 104.12848) + (xy 152.764952 104.229742) + (xy 152.884024 104.309303) + (xy 153.01633 104.364106) + (xy 153.156785 104.392044) + (xy 153.172254 104.392044) + (xy 153.340053 104.559844) + (xy 153.356552 104.579948) + (xy 153.358204 104.581304) + (xy 153.396996 104.653878) + (xy 153.467145 104.739355) + (xy 153.552622 104.809504) + (xy 153.650141 104.861629) + (xy 153.755956 104.893728) + (xy 153.866 104.904566) + (xy 154.236 104.904566) + (xy 154.346044 104.893728) + (xy 154.451859 104.861629) + (xy 154.549378 104.809504) + (xy 154.634855 104.739355) + (xy 154.705004 104.653878) + (xy 154.757129 104.556359) + (xy 154.789228 104.450544) + (xy 154.800066 104.3405) + (xy 154.800066 104.0705) + (xy 154.789228 103.960456) + (xy 154.757129 103.854641) + (xy 154.705004 103.757122) + (xy 154.654432 103.6955) + (xy 154.705004 103.633878) + (xy 154.757129 103.536359) + (xy 154.789228 103.430544) + (xy 154.800066 103.3205) + (xy 154.800066 103.157856) + (xy 154.913999 103.271789) + (xy 154.913999 103.74362) + (xy 154.91145 103.769501) + (xy 154.921625 103.87281) + (xy 154.95176 103.97215) + (xy 155.000695 104.063702) + (xy 155.006274 104.0705) + (xy 155.066552 104.143949) + (xy 155.086659 104.16045) + (xy 155.110046 104.183838) + (xy 155.126551 104.203949) + (xy 155.206797 104.269805) + (xy 155.298349 104.31874) + (xy 155.397689 104.348875) + (xy 155.475118 104.356501) + (xy 155.500999 104.35905) + (xy 155.52688 104.356501) + (xy 157.65512 104.356501) + (xy 157.681001 104.35905) + (xy 157.706882 104.356501) + (xy 157.784311 104.348875) + (xy 157.883651 104.31874) + (xy 157.975203 104.269805) + (xy 158.055449 104.203949) + (xy 158.071955 104.183837) + (xy 158.095338 104.160454) + (xy 158.115449 104.143949) + (xy 158.181305 104.063703) + (xy 158.23024 103.972151) + (xy 158.260375 103.872811) + (xy 158.268001 103.795382) + (xy 158.268001 103.795381) + (xy 158.27055 103.769501) + (xy 158.268001 103.74362) + (xy 158.268001 96.235381) + (xy 158.27055 96.2095) + (xy 158.260375 96.106191) + (xy 158.23024 96.006851) + (xy 158.181305 95.915299) + (xy 158.165002 95.895434) + (xy 158.115449 95.835053) + (xy 158.09534 95.81855) + (xy 157.859315 95.582526) + (xy 157.896391 95.578874) + (xy 157.995731 95.548739) + (xy 158.087283 95.499804) + (xy 158.167529 95.433948) + (xy 158.184036 95.413834) + (xy 158.850344 94.747528) + (xy 158.870448 94.731029) + (xy 158.936304 94.650783) + (xy 158.985239 94.559231) + (xy 159.015374 94.459891) + (xy 159.023 94.382462) + (xy 159.025549 94.356581) + (xy 159.023 94.3307) + (xy 159.023 93.180377) + (xy 159.025549 93.154499) + (xy 159.023 93.128619) + (xy 159.015374 93.05119) + (xy 158.985239 92.95185) + (xy 158.936304 92.860298) + (xy 158.870448 92.780052) + (xy 158.850339 92.763549) + (xy 158.413954 92.327165) + (xy 158.397447 92.307051) + (xy 158.371778 92.285985) + (xy 157.943082 91.85729) + (xy 157.998395 91.811895) + (xy 158.051755 91.746876) + (xy 158.076543 91.7005) + (xy 158.085866 91.7005) + (xy 158.123564 91.738198) + (xy 158.242636 91.817759) + (xy 158.374942 91.872562) + (xy 158.515397 91.9005) + (xy 158.658603 91.9005) + (xy 158.799058 91.872562) + (xy 158.931364 91.817759) + (xy 159.050436 91.738198) + (xy 159.151698 91.636936) + (xy 159.231259 91.517864) + (xy 159.286062 91.385558) + (xy 159.314 91.245103) + (xy 159.314 91.101897) + (xy 159.286062 90.961442) + (xy 159.231259 90.829136) + (xy 159.151698 90.710064) + (xy 159.050436 90.608802) + (xy 158.931364 90.529241) + (xy 158.799058 90.474438) + (xy 158.658603 90.4465) + (xy 158.515397 90.4465) + (xy 158.374942 90.474438) + (xy 158.242636 90.529241) + (xy 158.123564 90.608802) + (xy 158.085866 90.6465) + (xy 158.065853 90.6465) + (xy 158.051755 90.620124) + (xy 157.998395 90.555105) + (xy 157.933376 90.501745) + (xy 157.859196 90.462095) + (xy 157.778707 90.437678) + (xy 157.695 90.429434) + (xy 157.372 90.429434) + (xy 157.372 90.037566) + (xy 157.695 90.037566) + (xy 157.778707 90.029322) + (xy 157.859196 90.004905) + (xy 157.933376 89.965255) + (xy 157.998395 89.911895) + (xy 158.051755 89.846876) + (xy 158.071198 89.8105) + (xy 158.366806 89.8105) + (xy 158.392636 89.827759) + (xy 158.524942 89.882562) + (xy 158.665397 89.9105) + (xy 158.808603 89.9105) + (xy 158.949058 89.882562) + (xy 159.081364 89.827759) + (xy 159.200436 89.748198) + (xy 159.301698 89.646936) + (xy 159.381259 89.527864) + (xy 159.436062 89.395558) + (xy 159.464 89.255103) + (xy 159.464 89.111897) + (xy 159.436062 88.971442) + (xy 159.381259 88.839136) + (xy 159.301698 88.720064) + (xy 159.200436 88.618802) + (xy 159.081364 88.539241) + (xy 158.949058 88.484438) + (xy 158.808603 88.4565) + (xy 158.665397 88.4565) + (xy 158.524942 88.484438) + (xy 158.392636 88.539241) + (xy 158.273564 88.618802) + (xy 158.172302 88.720064) + (xy 158.147956 88.7565) + (xy 158.071198 88.7565) + (xy 158.051755 88.720124) + (xy 157.998395 88.655105) + (xy 157.933376 88.601745) + (xy 157.859196 88.562095) + (xy 157.778707 88.537678) + (xy 157.695 88.529434) + (xy 157.295 88.529434) + (xy 157.251965 88.533672) + (xy 157.219448 88.49405) + (xy 157.199339 88.477547) + (xy 155.484 86.762209) + (xy 155.484 84.901897) + (xy 157.73 84.901897) + (xy 157.73 85.045103) + (xy 157.757938 85.185558) + (xy 157.812741 85.317864) + (xy 157.892302 85.436936) + (xy 157.993564 85.538198) + (xy 158.112636 85.617759) + (xy 158.244942 85.672562) + (xy 158.385397 85.7005) + (xy 158.528603 85.7005) + (xy 158.669058 85.672562) + (xy 158.801364 85.617759) + (xy 158.920436 85.538198) + (xy 159.021698 85.436936) + (xy 159.101259 85.317864) + (xy 159.156062 85.185558) + (xy 159.184 85.045103) + (xy 159.184 84.99179) + (xy 159.291344 84.884447) + (xy 159.311448 84.867948) + (xy 159.377304 84.787702) + (xy 159.426239 84.69615) + (xy 159.456374 84.59681) + (xy 159.464 84.519381) + (xy 159.464 84.51938) + (xy 159.466549 84.4935) + (xy 159.464 84.467619) + (xy 159.464 81.444634) + (xy 159.501698 81.406936) + (xy 159.581259 81.287864) + (xy 159.636062 81.155558) + (xy 159.664 81.015103) + (xy 159.664 80.871897) + (xy 159.636062 80.731442) + (xy 159.581259 80.599136) + (xy 159.501698 80.480064) + (xy 159.400436 80.378802) + (xy 159.281364 80.299241) + (xy 159.149058 80.244438) + (xy 159.008603 80.2165) + (xy 158.865397 80.2165) + (xy 158.724942 80.244438) + (xy 158.592636 80.299241) + (xy 158.473564 80.378802) + (xy 158.372302 80.480064) + (xy 158.292741 80.599136) + (xy 158.237938 80.731442) + (xy 158.21 80.871897) + (xy 158.21 81.015103) + (xy 158.237938 81.155558) + (xy 158.292741 81.287864) + (xy 158.372302 81.406936) + (xy 158.410001 81.444635) + (xy 158.41 84.2465) + (xy 158.385397 84.2465) + (xy 158.244942 84.274438) + (xy 158.112636 84.329241) + (xy 157.993564 84.408802) + (xy 157.892302 84.510064) + (xy 157.812741 84.629136) + (xy 157.757938 84.761442) + (xy 157.73 84.901897) + (xy 155.484 84.901897) + (xy 155.484 82.32938) + (xy 155.486549 82.303499) + (xy 155.476374 82.20019) + (xy 155.446239 82.10085) + (xy 155.442645 82.094126) + (xy 155.397304 82.009298) + (xy 155.331448 81.929052) + (xy 155.311339 81.912549) + (xy 154.427356 81.028566) + (xy 154.49 81.028566) + (xy 154.600044 81.017728) + (xy 154.705859 80.985629) + (xy 154.803378 80.933504) + (xy 154.847264 80.897488) + (xy 154.862636 80.907759) + (xy 154.994942 80.962562) + (xy 155.135397 80.9905) + (xy 155.278603 80.9905) + (xy 155.419058 80.962562) + (xy 155.551364 80.907759) + (xy 155.670436 80.828198) + (xy 155.771698 80.726936) + (xy 155.851259 80.607864) + (xy 155.906062 80.475558) + (xy 155.934 80.335103) + (xy 155.934 80.191897) + (xy 155.906062 80.051442) + (xy 155.851259 79.919136) + (xy 155.786467 79.822167) + (xy 155.851698 79.756936) + (xy 155.931259 79.637864) + (xy 155.986062 79.505558) + (xy 156.014 79.365103) + (xy 156.014 79.221897) + (xy 155.986062 79.081442) + (xy 155.931259 78.949136) + (xy 155.851698 78.830064) + (xy 155.750436 78.728802) + (xy 155.631364 78.649241) + (xy 155.499058 78.594438) + (xy 155.358603 78.5665) + (xy 155.215397 78.5665) + (xy 155.074942 78.594438) + (xy 154.942636 78.649241) + (xy 154.828091 78.725777) + (xy 154.803378 78.705496) + (xy 154.705859 78.653371) + (xy 154.600044 78.621272) + (xy 154.49 78.610434) + (xy 154.12 78.610434) + (xy 154.009956 78.621272) + (xy 153.904141 78.653371) + (xy 153.806622 78.705496) + (xy 153.721145 78.775645) + (xy 153.659713 78.8505) + (xy 152.991198 78.8505) + (xy 152.971755 78.814124) + (xy 152.918395 78.749105) + (xy 152.853376 78.695745) + (xy 152.779196 78.656095) + (xy 152.698707 78.631678) + (xy 152.615 78.623434) + (xy 152.282931 78.623434) + (xy 152.254239 78.52885) + (xy 152.251651 78.524008) + (xy 152.205304 78.437298) + (xy 152.139448 78.357052) + (xy 152.119334 78.340545) + (xy 152.018289 78.2395) + (xy 159.108891 78.2395) + (xy 159.388134 78.26688) + (xy 159.635473 78.341555) + (xy 159.863597 78.462851) + (xy 160.063819 78.626148) + (xy 160.228507 78.825221) + (xy 160.351393 79.052494) + (xy 160.427794 79.299306) + (xy 160.457001 79.577195) + (xy 160.457 118.151391) + (xy 160.42962 118.430633) + (xy 160.354943 118.677977) + (xy 160.233649 118.906097) + (xy 160.070352 119.106319) + (xy 159.871279 119.271007) + (xy 159.644008 119.393892) + (xy 159.397194 119.470294) + (xy 159.119314 119.4995) + (xy 128.419109 119.4995) + (xy 128.139867 119.47212) + (xy 127.892523 119.397443) + (xy 127.664403 119.276149) + (xy 127.464181 119.112852) + (xy 127.299493 118.913779) + (xy 127.176608 118.686508) + (xy 127.100206 118.439694) + (xy 127.071 118.161814) + (xy 127.071 115.941858) + (xy 128.402 115.941858) + (xy 128.402 116.341142) + (xy 128.479896 116.732754) + (xy 128.632696 117.101645) + (xy 128.854526 117.433637) + (xy 129.136863 117.715974) + (xy 129.468855 117.937804) + (xy 129.837746 118.090604) + (xy 130.229358 118.1685) + (xy 130.628642 118.1685) + (xy 131.020254 118.090604) + (xy 131.389145 117.937804) + (xy 131.721137 117.715974) + (xy 132.003474 117.433637) + (xy 132.225304 117.101645) + (xy 132.378104 116.732754) + (xy 132.414343 116.550566) + (xy 134.557539 116.550566) + (xy 134.659339 116.820079) + (xy 134.957477 116.965963) + (xy 135.278346 117.05088) + (xy 135.609617 117.071566) + (xy 135.938557 117.027228) + (xy 136.252527 116.919569) + (xy 136.438661 116.820079) + (xy 136.540461 116.550566) + (xy 135.549 115.559105) + (xy 134.557539 116.550566) + (xy 132.414343 116.550566) + (xy 132.456 116.341142) + (xy 132.456 115.941858) + (xy 132.378104 115.550246) + (xy 132.332487 115.440117) + (xy 133.856934 115.440117) + (xy 133.901272 115.769057) + (xy 134.008931 116.083027) + (xy 134.108421 116.269161) + (xy 134.377934 116.370961) + (xy 135.369395 115.3795) + (xy 135.728605 115.3795) + (xy 136.720066 116.370961) + (xy 136.891766 116.306107) + (xy 136.901739 116.321033) + (xy 137.107467 116.526761) + (xy 137.349378 116.688401) + (xy 137.618175 116.79974) + (xy 137.903528 116.8565) + (xy 138.194472 116.8565) + (xy 138.479825 116.79974) + (xy 138.748622 116.688401) + (xy 138.990533 116.526761) + (xy 139.196261 116.321033) + (xy 139.299 116.167273) + (xy 139.401739 116.321033) + (xy 139.607467 116.526761) + (xy 139.849378 116.688401) + (xy 140.118175 116.79974) + (xy 140.403528 116.8565) + (xy 140.694472 116.8565) + (xy 140.979825 116.79974) + (xy 141.248622 116.688401) + (xy 141.454906 116.550566) + (xy 146.392039 116.550566) + (xy 146.493839 116.820079) + (xy 146.791977 116.965963) + (xy 147.112846 117.05088) + (xy 147.444117 117.071566) + (xy 147.773057 117.027228) + (xy 148.087027 116.919569) + (xy 148.273161 116.820079) + (xy 148.374961 116.550566) + (xy 147.3835 115.559105) + (xy 146.392039 116.550566) + (xy 141.454906 116.550566) + (xy 141.490533 116.526761) + (xy 141.696261 116.321033) + (xy 141.857901 116.079122) + (xy 141.96924 115.810325) + (xy 142.026 115.524972) + (xy 142.026 115.440117) + (xy 145.691434 115.440117) + (xy 145.735772 115.769057) + (xy 145.843431 116.083027) + (xy 145.942921 116.269161) + (xy 146.212434 116.370961) + (xy 147.203895 115.3795) + (xy 147.563105 115.3795) + (xy 148.554566 116.370961) + (xy 148.726266 116.306107) + (xy 148.736239 116.321033) + (xy 148.941967 116.526761) + (xy 149.183878 116.688401) + (xy 149.452675 116.79974) + (xy 149.738028 116.8565) + (xy 150.028972 116.8565) + (xy 150.314325 116.79974) + (xy 150.583122 116.688401) + (xy 150.825033 116.526761) + (xy 151.030761 116.321033) + (xy 151.1335 116.167273) + (xy 151.236239 116.321033) + (xy 151.441967 116.526761) + (xy 151.683878 116.688401) + (xy 151.952675 116.79974) + (xy 152.238028 116.8565) + (xy 152.528972 116.8565) + (xy 152.814325 116.79974) + (xy 153.083122 116.688401) + (xy 153.325033 116.526761) + (xy 153.530761 116.321033) + (xy 153.692401 116.079122) + (xy 153.749257 115.941858) + (xy 155.072 115.941858) + (xy 155.072 116.341142) + (xy 155.149896 116.732754) + (xy 155.302696 117.101645) + (xy 155.524526 117.433637) + (xy 155.806863 117.715974) + (xy 156.138855 117.937804) + (xy 156.507746 118.090604) + (xy 156.899358 118.1685) + (xy 157.298642 118.1685) + (xy 157.690254 118.090604) + (xy 158.059145 117.937804) + (xy 158.391137 117.715974) + (xy 158.673474 117.433637) + (xy 158.895304 117.101645) + (xy 159.048104 116.732754) + (xy 159.126 116.341142) + (xy 159.126 115.941858) + (xy 159.048104 115.550246) + (xy 158.895304 115.181355) + (xy 158.673474 114.849363) + (xy 158.391137 114.567026) + (xy 158.059145 114.345196) + (xy 157.690254 114.192396) + (xy 157.298642 114.1145) + (xy 156.899358 114.1145) + (xy 156.507746 114.192396) + (xy 156.138855 114.345196) + (xy 155.806863 114.567026) + (xy 155.524526 114.849363) + (xy 155.302696 115.181355) + (xy 155.149896 115.550246) + (xy 155.072 115.941858) + (xy 153.749257 115.941858) + (xy 153.80374 115.810325) + (xy 153.8605 115.524972) + (xy 153.8605 115.234028) + (xy 153.80374 114.948675) + (xy 153.692401 114.679878) + (xy 153.530761 114.437967) + (xy 153.325033 114.232239) + (xy 153.083122 114.070599) + (xy 152.814325 113.95926) + (xy 152.528972 113.9025) + (xy 152.238028 113.9025) + (xy 151.952675 113.95926) + (xy 151.683878 114.070599) + (xy 151.441967 114.232239) + (xy 151.236239 114.437967) + (xy 151.1335 114.591727) + (xy 151.030761 114.437967) + (xy 150.825033 114.232239) + (xy 150.583122 114.070599) + (xy 150.314325 113.95926) + (xy 150.028972 113.9025) + (xy 149.738028 113.9025) + (xy 149.452675 113.95926) + (xy 149.183878 114.070599) + (xy 148.941967 114.232239) + (xy 148.736239 114.437967) + (xy 148.726266 114.452893) + (xy 148.554566 114.388039) + (xy 147.563105 115.3795) + (xy 147.203895 115.3795) + (xy 146.212434 114.388039) + (xy 145.942921 114.489839) + (xy 145.797037 114.787977) + (xy 145.71212 115.108846) + (xy 145.691434 115.440117) + (xy 142.026 115.440117) + (xy 142.026 115.234028) + (xy 141.96924 114.948675) + (xy 141.857901 114.679878) + (xy 141.696261 114.437967) + (xy 141.490533 114.232239) + (xy 141.454907 114.208434) + (xy 146.392039 114.208434) + (xy 147.3835 115.199895) + (xy 148.374961 114.208434) + (xy 148.273161 113.938921) + (xy 147.975023 113.793037) + (xy 147.654154 113.70812) + (xy 147.322883 113.687434) + (xy 146.993943 113.731772) + (xy 146.679973 113.839431) + (xy 146.493839 113.938921) + (xy 146.392039 114.208434) + (xy 141.454907 114.208434) + (xy 141.248622 114.070599) + (xy 140.979825 113.95926) + (xy 140.694472 113.9025) + (xy 140.403528 113.9025) + (xy 140.118175 113.95926) + (xy 139.849378 114.070599) + (xy 139.607467 114.232239) + (xy 139.401739 114.437967) + (xy 139.299 114.591727) + (xy 139.196261 114.437967) + (xy 138.990533 114.232239) + (xy 138.748622 114.070599) + (xy 138.576 113.999097) + (xy 138.576 113.418491) + (xy 138.576181 113.41837) + (xy 138.691372 113.303179) + (xy 138.691493 113.302998) + (xy 147.421121 113.302998) + (xy 147.447002 113.305547) + (xy 147.472883 113.302998) + (xy 147.550312 113.295372) + (xy 147.649652 113.265237) + (xy 147.741204 113.216302) + (xy 147.82145 113.150446) + (xy 147.837957 113.130332) + (xy 148.889333 112.078957) + (xy 148.889548 112.079) + (xy 149.052452 112.079) + (xy 149.212227 112.047218) + (xy 149.362731 111.984877) + (xy 149.498181 111.894372) + (xy 149.613372 111.779181) + (xy 149.703877 111.643731) + (xy 149.766218 111.493227) + (xy 149.798 111.333452) + (xy 149.798 111.170548) + (xy 149.766218 111.010773) + (xy 149.703877 110.860269) + (xy 149.613372 110.724819) + (xy 149.498181 110.609628) + (xy 149.362731 110.519123) + (xy 149.212227 110.456782) + (xy 149.052452 110.425) + (xy 148.889548 110.425) + (xy 148.729773 110.456782) + (xy 148.579269 110.519123) + (xy 148.443819 110.609628) + (xy 148.328628 110.724819) + (xy 148.238123 110.860269) + (xy 148.175782 111.010773) + (xy 148.144 111.170548) + (xy 148.144 111.333452) + (xy 148.144043 111.333667) + (xy 147.228713 112.248998) + (xy 138.691493 112.248998) + (xy 138.691372 112.248817) + (xy 138.576181 112.133626) + (xy 138.440731 112.043121) + (xy 138.290227 111.98078) + (xy 138.130452 111.948998) + (xy 137.967548 111.948998) + (xy 137.807773 111.98078) + (xy 137.657269 112.043121) + (xy 137.521819 112.133626) + (xy 137.406628 112.248817) + (xy 137.316123 112.384267) + (xy 137.253782 112.534771) + (xy 137.222 112.694546) + (xy 137.222 112.85745) + (xy 137.253782 113.017225) + (xy 137.316123 113.167729) + (xy 137.406628 113.303179) + (xy 137.521819 113.41837) + (xy 137.522001 113.418491) + (xy 137.522001 113.999097) + (xy 137.349378 114.070599) + (xy 137.107467 114.232239) + (xy 136.901739 114.437967) + (xy 136.891766 114.452893) + (xy 136.720066 114.388039) + (xy 135.728605 115.3795) + (xy 135.369395 115.3795) + (xy 134.377934 114.388039) + (xy 134.108421 114.489839) + (xy 133.962537 114.787977) + (xy 133.87762 115.108846) + (xy 133.856934 115.440117) + (xy 132.332487 115.440117) + (xy 132.225304 115.181355) + (xy 132.003474 114.849363) + (xy 131.721137 114.567026) + (xy 131.389145 114.345196) + (xy 131.058974 114.208434) + (xy 134.557539 114.208434) + (xy 135.549 115.199895) + (xy 136.540461 114.208434) + (xy 136.438661 113.938921) + (xy 136.140523 113.793037) + (xy 135.819654 113.70812) + (xy 135.488383 113.687434) + (xy 135.159443 113.731772) + (xy 134.845473 113.839431) + (xy 134.659339 113.938921) + (xy 134.557539 114.208434) + (xy 131.058974 114.208434) + (xy 131.020254 114.192396) + (xy 130.628642 114.1145) + (xy 130.229358 114.1145) + (xy 129.837746 114.192396) + (xy 129.468855 114.345196) + (xy 129.136863 114.567026) + (xy 128.854526 114.849363) + (xy 128.632696 115.181355) + (xy 128.479896 115.550246) + (xy 128.402 115.941858) + (xy 127.071 115.941858) + (xy 127.071 109.101) + (xy 130.261934 109.101) + (xy 130.261934 110.101) + (xy 130.270178 110.184707) + (xy 130.294595 110.265196) + (xy 130.334245 110.339376) + (xy 130.387605 110.404395) + (xy 130.452624 110.457755) + (xy 130.526804 110.497405) + (xy 130.607293 110.521822) + (xy 130.691 110.530066) + (xy 131.691 110.530066) + (xy 131.774707 110.521822) + (xy 131.855196 110.497405) + (xy 131.929376 110.457755) + (xy 131.994395 110.404395) + (xy 132.047755 110.339376) + (xy 132.087405 110.265196) + (xy 132.111822 110.184707) + (xy 132.120066 110.101) + (xy 132.120066 109.101) + (xy 132.111822 109.017293) + (xy 132.087405 108.936804) + (xy 132.047755 108.862624) + (xy 131.994395 108.797605) + (xy 131.994216 108.797458) + (xy 132.012496 108.770099) + (xy 132.082376 108.601396) + (xy 132.118 108.422301) + (xy 132.118 108.239699) + (xy 132.103 108.164289) + (xy 132.541539 107.72575) + (xy 133.538 107.72575) + (xy 133.69675 107.8845) + (xy 134.366 107.8845) + (xy 134.366 107.26525) + (xy 134.20725 107.1065) + (xy 134.180881 107.103477) + (xy 134.056258 107.114199) + (xy 133.936121 107.149027) + (xy 133.825086 107.206624) + (xy 133.727422 107.284776) + (xy 133.646882 107.38048) + (xy 133.586559 107.490058) + (xy 133.548774 107.609298) + (xy 133.538 107.72575) + (xy 132.541539 107.72575) + (xy 132.999985 107.267305) + (xy 133.069767 107.29621) + (xy 133.229542 107.327992) + (xy 133.392446 107.327992) + (xy 133.552221 107.29621) + (xy 133.702725 107.233869) + (xy 133.838175 107.143364) + (xy 133.953366 107.028173) + (xy 134.043871 106.892723) + (xy 134.106212 106.742219) + (xy 134.137994 106.582444) + (xy 134.137994 106.41954) + (xy 134.106212 106.259765) + (xy 134.043871 106.109261) + (xy 133.953366 105.973811) + (xy 133.838175 105.85862) + (xy 133.702725 105.768115) + (xy 133.552221 105.705774) + (xy 133.392446 105.673992) + (xy 133.229542 105.673992) + (xy 133.069767 105.705774) + (xy 132.919263 105.768115) + (xy 132.783813 105.85862) + (xy 132.668622 105.973811) + (xy 132.578117 106.109261) + (xy 132.515776 106.259765) + (xy 132.515486 106.261224) + (xy 131.357711 107.419) + (xy 131.282301 107.404) + (xy 131.099699 107.404) + (xy 130.920604 107.439624) + (xy 130.751901 107.509504) + (xy 130.600072 107.610952) + (xy 130.470952 107.740072) + (xy 130.369504 107.891901) + (xy 130.299624 108.060604) + (xy 130.264 108.239699) + (xy 130.264 108.422301) + (xy 130.299624 108.601396) + (xy 130.369504 108.770099) + (xy 130.387784 108.797458) + (xy 130.387605 108.797605) + (xy 130.334245 108.862624) + (xy 130.294595 108.936804) + (xy 130.270178 109.017293) + (xy 130.261934 109.101) + (xy 127.071 109.101) + (xy 127.071 91.934739) + (xy 127.925123 91.934739) + (xy 127.934305 92.059486) + (xy 127.967647 92.180044) + (xy 128.023868 92.291781) + (xy 128.100808 92.390403) + (xy 128.19551 92.472119) + (xy 128.304334 92.53379) + (xy 128.423098 92.573046) + (xy 128.53125 92.5855) + (xy 128.69 92.42675) + (xy 128.69 91.7525) + (xy 128.08675 91.7525) + (xy 127.928 91.91125) + (xy 127.925123 91.934739) + (xy 127.071 91.934739) + (xy 127.071 91.316261) + (xy 127.925123 91.316261) + (xy 127.928 91.33975) + (xy 128.08675 91.4985) + (xy 128.69 91.4985) + (xy 128.69 90.82425) + (xy 128.53125 90.6655) + (xy 128.423098 90.677954) + (xy 128.304334 90.71721) + (xy 128.19551 90.778881) + (xy 128.100808 90.860597) + (xy 128.023868 90.959219) + (xy 127.967647 91.070956) + (xy 127.934305 91.191514) + (xy 127.925123 91.316261) + (xy 127.071 91.316261) + (xy 127.071 83.081893) + (xy 127.36001 83.081893) + (xy 127.36001 83.225099) + (xy 127.387948 83.365554) + (xy 127.442751 83.49786) + (xy 127.522312 83.616932) + (xy 127.623574 83.718194) + (xy 127.742646 83.797755) + (xy 127.874952 83.852558) + (xy 128.015407 83.880496) + (xy 128.158613 83.880496) + (xy 128.299068 83.852558) + (xy 128.431374 83.797755) + (xy 128.550446 83.718194) + (xy 128.61814 83.6505) + (xy 129.875848 83.6505) + (xy 129.913546 83.688198) + (xy 130.032618 83.767759) + (xy 130.164924 83.822562) + (xy 130.305379 83.8505) + (xy 130.448585 83.8505) + (xy 130.565456 83.827253) + (xy 128.408662 85.984049) + (xy 128.388553 86.000552) + (xy 128.331061 86.070606) + (xy 128.322696 86.080799) + (xy 128.273761 86.172351) + (xy 128.243626 86.271691) + (xy 128.233451 86.375) + (xy 128.236001 86.400891) + (xy 128.236 89.125867) + (xy 128.206245 89.162124) + (xy 128.166595 89.236304) + (xy 128.142178 89.316793) + (xy 128.133934 89.4005) + (xy 128.133934 90.0505) + (xy 128.142178 90.134207) + (xy 128.166595 90.214696) + (xy 128.206245 90.288876) + (xy 128.259605 90.353895) + (xy 128.324624 90.407255) + (xy 128.398804 90.446905) + (xy 128.479293 90.471322) + (xy 128.563 90.479566) + (xy 128.963 90.479566) + (xy 129.046707 90.471322) + (xy 129.127196 90.446905) + (xy 129.201376 90.407255) + (xy 129.266395 90.353895) + (xy 129.319755 90.288876) + (xy 129.359405 90.214696) + (xy 129.383822 90.134207) + (xy 129.392066 90.0505) + (xy 129.392066 89.4005) + (xy 129.433934 89.4005) + (xy 129.433934 90.0505) + (xy 129.442178 90.134207) + (xy 129.466595 90.214696) + (xy 129.506245 90.288876) + (xy 129.559605 90.353895) + (xy 129.624624 90.407255) + (xy 129.698804 90.446905) + (xy 129.779293 90.471322) + (xy 129.863 90.479566) + (xy 130.263 90.479566) + (xy 130.346707 90.471322) + (xy 130.427196 90.446905) + (xy 130.501376 90.407255) + (xy 130.551327 90.366261) + (xy 138.339123 90.366261) + (xy 138.342 90.38975) + (xy 138.50075 90.5485) + (xy 139.104 90.5485) + (xy 139.104 89.87425) + (xy 138.94525 89.7155) + (xy 138.837098 89.727954) + (xy 138.718334 89.76721) + (xy 138.60951 89.828881) + (xy 138.514808 89.910597) + (xy 138.437868 90.009219) + (xy 138.381647 90.120956) + (xy 138.348305 90.241514) + (xy 138.339123 90.366261) + (xy 130.551327 90.366261) + (xy 130.566395 90.353895) + (xy 130.619755 90.288876) + (xy 130.642383 90.246541) + (xy 130.65931 90.244874) + (xy 130.75865 90.214739) + (xy 130.850202 90.165804) + (xy 130.930448 90.099948) + (xy 130.946955 90.079834) + (xy 131.109332 89.917457) + (xy 131.109548 89.9175) + (xy 131.272452 89.9175) + (xy 131.432227 89.885718) + (xy 131.582731 89.823377) + (xy 131.718181 89.732872) + (xy 131.833372 89.617681) + (xy 131.923877 89.482231) + (xy 131.986218 89.331727) + (xy 131.992151 89.301897) + (xy 135.41 89.301897) + (xy 135.41 89.445103) + (xy 135.437938 89.585558) + (xy 135.492741 89.717864) + (xy 135.572302 89.836936) + (xy 135.673564 89.938198) + (xy 135.792636 90.017759) + (xy 135.924942 90.072562) + (xy 136.065397 90.1005) + (xy 136.208603 90.1005) + (xy 136.349058 90.072562) + (xy 136.481364 90.017759) + (xy 136.600436 89.938198) + (xy 136.701698 89.836936) + (xy 136.781259 89.717864) + (xy 136.836062 89.585558) + (xy 136.864 89.445103) + (xy 136.864 89.301897) + (xy 136.836062 89.161442) + (xy 136.781259 89.029136) + (xy 136.701698 88.910064) + (xy 136.600436 88.808802) + (xy 136.481364 88.729241) + (xy 136.349058 88.674438) + (xy 136.208603 88.6465) + (xy 136.065397 88.6465) + (xy 135.924942 88.674438) + (xy 135.792636 88.729241) + (xy 135.673564 88.808802) + (xy 135.572302 88.910064) + (xy 135.492741 89.029136) + (xy 135.437938 89.161442) + (xy 135.41 89.301897) + (xy 131.992151 89.301897) + (xy 132.018 89.171952) + (xy 132.018 89.009048) + (xy 131.986218 88.849273) + (xy 131.923877 88.698769) + (xy 131.833372 88.563319) + (xy 131.718181 88.448128) + (xy 131.582731 88.357623) + (xy 131.432227 88.295282) + (xy 131.272452 88.2635) + (xy 131.109548 88.2635) + (xy 130.949773 88.295282) + (xy 130.799269 88.357623) + (xy 130.663819 88.448128) + (xy 130.548628 88.563319) + (xy 130.458123 88.698769) + (xy 130.395782 88.849273) + (xy 130.368526 88.986297) + (xy 130.346707 88.979678) + (xy 130.263 88.971434) + (xy 129.863 88.971434) + (xy 129.779293 88.979678) + (xy 129.698804 89.004095) + (xy 129.624624 89.043745) + (xy 129.559605 89.097105) + (xy 129.506245 89.162124) + (xy 129.466595 89.236304) + (xy 129.442178 89.316793) + (xy 129.433934 89.4005) + (xy 129.392066 89.4005) + (xy 129.383822 89.316793) + (xy 129.359405 89.236304) + (xy 129.319755 89.162124) + (xy 129.29 89.125868) + (xy 129.29 86.593289) + (xy 129.854676 86.028613) + (xy 129.877938 86.145558) + (xy 129.932741 86.277864) + (xy 130.012302 86.396936) + (xy 130.113564 86.498198) + (xy 130.232636 86.577759) + (xy 130.364942 86.632562) + (xy 130.505397 86.6605) + (xy 130.648603 86.6605) + (xy 130.789058 86.632562) + (xy 130.921364 86.577759) + (xy 131.040436 86.498198) + (xy 131.141698 86.396936) + (xy 131.221259 86.277864) + (xy 131.276062 86.145558) + (xy 131.304 86.005103) + (xy 131.304 85.861897) + (xy 131.276062 85.721442) + (xy 131.221259 85.589136) + (xy 131.141698 85.470064) + (xy 131.040436 85.368802) + (xy 130.921364 85.289241) + (xy 130.789058 85.234438) + (xy 130.672114 85.211177) + (xy 131.401394 84.481897) + (xy 135.39 84.481897) + (xy 135.39 84.625103) + (xy 135.417938 84.765558) + (xy 135.472741 84.897864) + (xy 135.552302 85.016936) + (xy 135.653564 85.118198) + (xy 135.772636 85.197759) + (xy 135.904942 85.252562) + (xy 136.045397 85.2805) + (xy 136.188603 85.2805) + (xy 136.329058 85.252562) + (xy 136.461364 85.197759) + (xy 136.580436 85.118198) + (xy 136.681698 85.016936) + (xy 136.761259 84.897864) + (xy 136.816062 84.765558) + (xy 136.844 84.625103) + (xy 136.844 84.481897) + (xy 136.816062 84.341442) + (xy 136.761259 84.209136) + (xy 136.681698 84.090064) + (xy 136.580436 83.988802) + (xy 136.461364 83.909241) + (xy 136.329058 83.854438) + (xy 136.188603 83.8265) + (xy 136.045397 83.8265) + (xy 135.904942 83.854438) + (xy 135.772636 83.909241) + (xy 135.653564 83.988802) + (xy 135.552302 84.090064) + (xy 135.472741 84.209136) + (xy 135.417938 84.341442) + (xy 135.39 84.481897) + (xy 131.401394 84.481897) + (xy 131.799345 84.083946) + (xy 131.819448 84.067448) + (xy 131.885304 83.987202) + (xy 131.934239 83.89565) + (xy 131.964374 83.79631) + (xy 131.972 83.718881) + (xy 131.972 83.71888) + (xy 131.974549 83.693) + (xy 131.972 83.667119) + (xy 131.972 82.671619) + (xy 133.582977 82.671619) + (xy 133.593699 82.796242) + (xy 133.628527 82.916379) + (xy 133.686124 83.027414) + (xy 133.764276 83.125078) + (xy 133.85998 83.205618) + (xy 133.969558 83.265941) + (xy 134.088798 83.303726) + (xy 134.20525 83.3145) + (xy 134.364 83.15575) + (xy 134.364 82.4865) + (xy 133.74475 82.4865) + (xy 133.586 82.64525) + (xy 133.582977 82.671619) + (xy 131.972 82.671619) + (xy 131.972 80.714261) + (xy 133.513123 80.714261) + (xy 133.516 80.73775) + (xy 133.67475 80.8965) + (xy 134.278 80.8965) + (xy 134.278 80.22225) + (xy 134.11925 80.0635) + (xy 134.011098 80.075954) + (xy 133.892334 80.11521) + (xy 133.78351 80.176881) + (xy 133.688808 80.258597) + (xy 133.611868 80.357219) + (xy 133.555647 80.468956) + (xy 133.522305 80.589514) + (xy 133.513123 80.714261) + (xy 131.972 80.714261) + (xy 131.972 80.304066) + (xy 132.072 80.304066) + (xy 132.155707 80.295822) + (xy 132.236196 80.271405) + (xy 132.310376 80.231755) + (xy 132.375395 80.178395) + (xy 132.428755 80.113376) + (xy 132.468405 80.039196) + (xy 132.492822 79.958707) + (xy 132.501066 79.875) + (xy 132.501066 78.875) + (xy 132.492822 78.791293) + (xy 132.468405 78.710804) + (xy 132.428755 78.636624) + (xy 132.375395 78.571605) + (xy 132.310376 78.518245) + (xy 132.236196 78.478595) + (xy 132.155707 78.454178) + (xy 132.072 78.445934) + (xy 131.072 78.445934) + (xy 130.988293 78.454178) + (xy 130.907804 78.478595) + (xy 130.833624 78.518245) + (xy 130.768605 78.571605) + (xy 130.715245 78.636624) + (xy 130.675595 78.710804) + (xy 130.651178 78.791293) + (xy 130.642934 78.875) + (xy 130.642934 79.875) + (xy 130.651178 79.958707) + (xy 130.675595 80.039196) + (xy 130.715245 80.113376) + (xy 130.768605 80.178395) + (xy 130.833624 80.231755) + (xy 130.907804 80.271405) + (xy 130.918001 80.274498) + (xy 130.918 82.636384) + (xy 130.840418 82.558802) + (xy 130.721346 82.479241) + (xy 130.58904 82.424438) + (xy 130.448585 82.3965) + (xy 130.305379 82.3965) + (xy 130.164924 82.424438) + (xy 130.032618 82.479241) + (xy 129.913546 82.558802) + (xy 129.875848 82.5965) + (xy 128.558148 82.5965) + (xy 128.550446 82.588798) + (xy 128.431374 82.509237) + (xy 128.299068 82.454434) + (xy 128.158613 82.426496) + (xy 128.015407 82.426496) + (xy 127.874952 82.454434) + (xy 127.742646 82.509237) + (xy 127.623574 82.588798) + (xy 127.522312 82.69006) + (xy 127.442751 82.809132) + (xy 127.387948 82.941438) + (xy 127.36001 83.081893) + (xy 127.071 83.081893) + (xy 127.071 79.587609) + (xy 127.09838 79.308366) + (xy 127.173055 79.061027) + (xy 127.294351 78.832903) + (xy 127.457648 78.632681) + (xy 127.656721 78.467993) + (xy 127.883994 78.345107) + (xy 128.130806 78.268706) + (xy 128.408686 78.2395) + (xy 134.724709 78.2395) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 132.696 97.30979) + (xy 132.696001 100.748609) + (xy 132.693451 100.7745) + (xy 132.703626 100.877809) + (xy 132.733761 100.977149) + (xy 132.782696 101.068701) + (xy 132.791061 101.078894) + (xy 132.848553 101.148948) + (xy 132.868661 101.16545) + (xy 133.565053 101.861844) + (xy 133.581552 101.881948) + (xy 133.661798 101.947804) + (xy 133.75335 101.996739) + (xy 133.85269 102.026874) + (xy 133.927693 102.034261) + (xy 133.956 102.037049) + (xy 133.981881 102.0345) + (xy 137.434711 102.0345) + (xy 137.898015 102.497806) + (xy 137.898014 105.85106) + (xy 137.896615 105.850782) + (xy 137.843302 105.850782) + (xy 136.554664 104.562145) + (xy 136.620436 104.518198) + (xy 136.721698 104.416936) + (xy 136.801259 104.297864) + (xy 136.856062 104.165558) + (xy 136.884 104.025103) + (xy 136.884 103.881897) + (xy 136.856062 103.741442) + (xy 136.801259 103.609136) + (xy 136.721698 103.490064) + (xy 136.620436 103.388802) + (xy 136.501364 103.309241) + (xy 136.369058 103.254438) + (xy 136.228603 103.2265) + (xy 136.085397 103.2265) + (xy 135.944942 103.254438) + (xy 135.812636 103.309241) + (xy 135.693564 103.388802) + (xy 135.592302 103.490064) + (xy 135.512741 103.609136) + (xy 135.457938 103.741442) + (xy 135.43 103.881897) + (xy 135.43 104.025103) + (xy 135.457938 104.165558) + (xy 135.465784 104.1845) + (xy 130.194 104.1845) + (xy 130.194 103.931122) + (xy 130.257293 103.950322) + (xy 130.341 103.958566) + (xy 132.041 103.958566) + (xy 132.124707 103.950322) + (xy 132.205196 103.925905) + (xy 132.279376 103.886255) + (xy 132.344395 103.832895) + (xy 132.397755 103.767876) + (xy 132.437405 103.693696) + (xy 132.461822 103.613207) + (xy 132.470066 103.5295) + (xy 132.470066 101.8295) + (xy 132.461822 101.745793) + (xy 132.437405 101.665304) + (xy 132.397755 101.591124) + (xy 132.344395 101.526105) + (xy 132.279376 101.472745) + (xy 132.205196 101.433095) + (xy 132.124707 101.408678) + (xy 132.041 101.400434) + (xy 131.961965 101.400434) + (xy 132.072355 101.334678) + (xy 132.288588 101.139769) + (xy 132.462641 100.90642) + (xy 132.587825 100.643599) + (xy 132.632476 100.49639) + (xy 132.511155 100.2665) + (xy 131.318 100.2665) + (xy 131.318 100.2865) + (xy 131.064 100.2865) + (xy 131.064 100.2665) + (xy 131.044 100.2665) + (xy 131.044 100.0125) + (xy 131.064 100.0125) + (xy 131.064 99.9925) + (xy 131.318 99.9925) + (xy 131.318 100.0125) + (xy 132.511155 100.0125) + (xy 132.632476 99.78261) + (xy 132.587825 99.635401) + (xy 132.462641 99.37258) + (xy 132.288588 99.139231) + (xy 132.072355 98.944322) + (xy 131.822252 98.795343) + (xy 131.724584 98.760697) + (xy 131.795886 98.731163) + (xy 132.00504 98.591411) + (xy 132.182911 98.41354) + (xy 132.322663 98.204386) + (xy 132.418926 97.971987) + (xy 132.468 97.725274) + (xy 132.468 97.473726) + (xy 132.418926 97.227013) + (xy 132.322663 96.994614) + (xy 132.205546 96.819336) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 154.430001 82.521791) + (xy 154.43 86.954617) + (xy 154.427451 86.980498) + (xy 154.43 87.006378) + (xy 154.437626 87.083807) + (xy 154.467761 87.183147) + (xy 154.516696 87.2747) + (xy 154.582552 87.354946) + (xy 154.602666 87.371453) + (xy 155.806368 88.575156) + (xy 155.756624 88.601745) + (xy 155.691605 88.655105) + (xy 155.638245 88.720124) + (xy 155.598595 88.794304) + (xy 155.574178 88.874793) + (xy 155.565934 88.9585) + (xy 155.565934 89.167276) + (xy 155.022666 89.710545) + (xy 155.002552 89.727052) + (xy 154.936696 89.807298) + (xy 154.894781 89.885718) + (xy 154.887761 89.898851) + (xy 154.857626 89.998191) + (xy 154.847451 90.1015) + (xy 154.85 90.127381) + (xy 154.850001 91.830874) + (xy 154.847451 91.856764) + (xy 154.857626 91.960073) + (xy 154.887761 92.059413) + (xy 154.936696 92.150965) + (xy 154.939596 92.154499) + (xy 155.002553 92.231212) + (xy 155.022662 92.247715) + (xy 156.410785 93.635839) + (xy 156.427288 93.655948) + (xy 156.499301 93.715047) + (xy 156.507534 93.721804) + (xy 156.599086 93.770739) + (xy 156.637856 93.7825) + (xy 156.465226 93.7825) + (xy 156.218513 93.831574) + (xy 155.986114 93.927837) + (xy 155.77696 94.067589) + (xy 155.599089 94.24546) + (xy 155.459337 94.454614) + (xy 155.363074 94.687013) + (xy 155.314 94.933726) + (xy 155.314 95.185274) + (xy 155.363074 95.431987) + (xy 155.459337 95.664386) + (xy 155.599089 95.87354) + (xy 155.77696 96.051411) + (xy 155.986114 96.191163) + (xy 156.218513 96.287426) + (xy 156.430034 96.3295) + (xy 156.218513 96.371574) + (xy 155.986114 96.467837) + (xy 155.77696 96.607589) + (xy 155.599089 96.78546) + (xy 155.459337 96.994614) + (xy 155.363074 97.227013) + (xy 155.314 97.473726) + (xy 155.314 97.725274) + (xy 155.363074 97.971987) + (xy 155.459337 98.204386) + (xy 155.599089 98.41354) + (xy 155.77696 98.591411) + (xy 155.986114 98.731163) + (xy 156.057416 98.760697) + (xy 155.959748 98.795343) + (xy 155.709645 98.944322) + (xy 155.493412 99.139231) + (xy 155.319359 99.37258) + (xy 155.194175 99.635401) + (xy 155.149524 99.78261) + (xy 155.270845 100.0125) + (xy 156.464 100.0125) + (xy 156.464 99.9925) + (xy 156.718 99.9925) + (xy 156.718 100.0125) + (xy 156.738 100.0125) + (xy 156.738 100.2665) + (xy 156.718 100.2665) + (xy 156.718 100.2865) + (xy 156.464 100.2865) + (xy 156.464 100.2665) + (xy 155.270845 100.2665) + (xy 155.149524 100.49639) + (xy 155.194175 100.643599) + (xy 155.319359 100.90642) + (xy 155.493412 101.139769) + (xy 155.709645 101.334678) + (xy 155.820035 101.400434) + (xy 155.741 101.400434) + (xy 155.657293 101.408678) + (xy 155.576804 101.433095) + (xy 155.502624 101.472745) + (xy 155.437605 101.526105) + (xy 155.384245 101.591124) + (xy 155.344595 101.665304) + (xy 155.320178 101.745793) + (xy 155.311934 101.8295) + (xy 155.311934 102.179144) + (xy 155.095823 101.963034) + (xy 155.171931 101.886926) + (xy 155.251492 101.767854) + (xy 155.306295 101.635548) + (xy 155.334233 101.495093) + (xy 155.334233 101.351887) + (xy 155.306295 101.211432) + (xy 155.251492 101.079126) + (xy 155.171931 100.960054) + (xy 155.070669 100.858792) + (xy 154.951597 100.779231) + (xy 154.907936 100.761146) + (xy 154.800066 100.653276) + (xy 154.800066 100.5145) + (xy 154.789228 100.404456) + (xy 154.757129 100.298641) + (xy 154.705004 100.201122) + (xy 154.654432 100.1395) + (xy 154.705004 100.077878) + (xy 154.757129 99.980359) + (xy 154.789228 99.874544) + (xy 154.800066 99.7645) + (xy 154.800066 99.4945) + (xy 154.789228 99.384456) + (xy 154.757129 99.278641) + (xy 154.705004 99.181122) + (xy 154.651823 99.116321) + (xy 154.664 99.055103) + (xy 154.664 98.911897) + (xy 154.636062 98.771442) + (xy 154.581259 98.639136) + (xy 154.501698 98.520064) + (xy 154.400436 98.418802) + (xy 154.281364 98.339241) + (xy 154.149058 98.284438) + (xy 154.008603 98.2565) + (xy 153.865397 98.2565) + (xy 153.724942 98.284438) + (xy 153.592636 98.339241) + (xy 153.473564 98.418802) + (xy 153.372302 98.520064) + (xy 153.292741 98.639136) + (xy 153.237938 98.771442) + (xy 153.21 98.911897) + (xy 153.21 99.055103) + (xy 153.237938 99.195558) + (xy 153.292741 99.327864) + (xy 153.318324 99.366152) + (xy 153.312772 99.384456) + (xy 153.301934 99.4945) + (xy 153.301934 99.7645) + (xy 153.312772 99.874544) + (xy 153.344871 99.980359) + (xy 153.396996 100.077878) + (xy 153.447568 100.1395) + (xy 153.396996 100.201122) + (xy 153.344871 100.298641) + (xy 153.312772 100.404456) + (xy 153.301934 100.5145) + (xy 153.301934 100.7845) + (xy 153.312347 100.890229) + (xy 153.306086 100.89649) + (xy 152.958134 100.89649) + (xy 152.920436 100.858792) + (xy 152.801364 100.779231) + (xy 152.669058 100.724428) + (xy 152.528603 100.69649) + (xy 152.385397 100.69649) + (xy 152.244942 100.724428) + (xy 152.112636 100.779231) + (xy 151.993564 100.858792) + (xy 151.892302 100.960054) + (xy 151.812741 101.079126) + (xy 151.757938 101.211432) + (xy 151.73 101.351887) + (xy 151.73 101.495093) + (xy 151.730278 101.496491) + (xy 151.672889 101.496491) + (xy 151.647008 101.493942) + (xy 151.58617 101.499934) + (xy 151.543699 101.504117) + (xy 151.49568 101.518684) + (xy 151.540428 101.410652) + (xy 151.568366 101.270197) + (xy 151.568366 101.126991) + (xy 151.540428 100.986536) + (xy 151.485625 100.85423) + (xy 151.406064 100.735158) + (xy 151.304802 100.633896) + (xy 151.18573 100.554335) + (xy 151.154066 100.541219) + (xy 151.154066 100.0025) + (xy 151.145822 99.918793) + (xy 151.121405 99.838304) + (xy 151.081755 99.764124) + (xy 151.028395 99.699105) + (xy 150.963376 99.645745) + (xy 150.889196 99.606095) + (xy 150.808707 99.581678) + (xy 150.725 99.573434) + (xy 150.402 99.573434) + (xy 150.402 99.27875) + (xy 150.452 99.22875) + (xy 150.452 98.953) + (xy 150.471405 98.916696) + (xy 150.495822 98.836207) + (xy 150.504066 98.7525) + (xy 150.504066 98.5545) + (xy 150.598 98.5545) + (xy 150.598 99.22875) + (xy 150.75675 99.3875) + (xy 150.864902 99.375046) + (xy 150.983666 99.33579) + (xy 151.09249 99.274119) + (xy 151.187192 99.192403) + (xy 151.264132 99.093781) + (xy 151.320353 98.982044) + (xy 151.353695 98.861486) + (xy 151.362877 98.736739) + (xy 151.36 98.71325) + (xy 151.20125 98.5545) + (xy 150.598 98.5545) + (xy 150.504066 98.5545) + (xy 150.504066 98.2805) + (xy 150.598 98.2805) + (xy 150.598 98.3005) + (xy 151.20125 98.3005) + (xy 151.36 98.14175) + (xy 151.362877 98.118261) + (xy 151.353695 97.993514) + (xy 151.330723 97.910451) + (xy 151.361364 97.897759) + (xy 151.480436 97.818198) + (xy 151.518134 97.7805) + (xy 153.75132 97.7805) + (xy 153.762302 97.796936) + (xy 153.863564 97.898198) + (xy 153.982636 97.977759) + (xy 154.114942 98.032562) + (xy 154.255397 98.0605) + (xy 154.398603 98.0605) + (xy 154.539058 98.032562) + (xy 154.671364 97.977759) + (xy 154.790436 97.898198) + (xy 154.891698 97.796936) + (xy 154.971259 97.677864) + (xy 155.026062 97.545558) + (xy 155.054 97.405103) + (xy 155.054 97.261897) + (xy 155.026062 97.121442) + (xy 154.971259 96.989136) + (xy 154.891698 96.870064) + (xy 154.807652 96.786018) + (xy 154.824293 96.745842) + (xy 154.852231 96.605387) + (xy 154.852231 96.462181) + (xy 154.824293 96.321726) + (xy 154.76949 96.18942) + (xy 154.689929 96.070348) + (xy 154.588667 95.969086) + (xy 154.469595 95.889525) + (xy 154.337289 95.834722) + (xy 154.196834 95.806784) + (xy 154.053628 95.806784) + (xy 153.913173 95.834722) + (xy 153.780867 95.889525) + (xy 153.691784 95.949048) + (xy 152.179038 95.949048) + (xy 152.14134 95.91135) + (xy 152.022268 95.831789) + (xy 151.889962 95.776986) + (xy 151.749507 95.749048) + (xy 151.606301 95.749048) + (xy 151.465846 95.776986) + (xy 151.33354 95.831789) + (xy 151.214468 95.91135) + (xy 151.113206 96.012612) + (xy 151.033645 96.131684) + (xy 150.978842 96.26399) + (xy 150.950904 96.404445) + (xy 150.950904 96.5265) + (xy 150.945397 96.5265) + (xy 150.804942 96.554438) + (xy 150.672636 96.609241) + (xy 150.553564 96.688802) + (xy 150.452302 96.790064) + (xy 150.372741 96.909136) + (xy 150.317938 97.041442) + (xy 150.29 97.181897) + (xy 150.29 97.325103) + (xy 150.317938 97.465558) + (xy 150.336768 97.511018) + (xy 150.29325 97.4675) + (xy 150.196439 97.478648) + (xy 149.783212 97.065422) + (xy 149.821698 97.026936) + (xy 149.901259 96.907864) + (xy 149.956062 96.775558) + (xy 149.984 96.635103) + (xy 149.984 96.491897) + (xy 149.956062 96.351442) + (xy 149.901259 96.219136) + (xy 149.821698 96.100064) + (xy 149.720436 95.998802) + (xy 149.601364 95.919241) + (xy 149.469058 95.864438) + (xy 149.328603 95.8365) + (xy 149.185397 95.8365) + (xy 149.044942 95.864438) + (xy 148.912636 95.919241) + (xy 148.793564 95.998802) + (xy 148.755866 96.0365) + (xy 148.293188 96.0365) + (xy 148.283807 96.030232) + (xy 148.151501 95.975429) + (xy 148.011046 95.947491) + (xy 147.86784 95.947491) + (xy 147.727385 95.975429) + (xy 147.595079 96.030232) + (xy 147.476007 96.109793) + (xy 147.374745 96.211055) + (xy 147.295184 96.330127) + (xy 147.240381 96.462433) + (xy 147.212443 96.602888) + (xy 147.212443 96.746094) + (xy 147.212723 96.747501) + (xy 144.266066 96.747501) + (xy 144.266066 96.3245) + (xy 144.257822 96.240793) + (xy 144.233405 96.160304) + (xy 144.214 96.124) + (xy 144.214 95.84825) + (xy 144.36 95.84825) + (xy 144.36 96.5225) + (xy 144.96325 96.5225) + (xy 145.122 96.36375) + (xy 145.124877 96.340261) + (xy 145.115695 96.215514) + (xy 145.082353 96.094956) + (xy 145.026132 95.983219) + (xy 144.949192 95.884597) + (xy 144.85449 95.802881) + (xy 144.745666 95.74121) + (xy 144.626902 95.701954) + (xy 144.51875 95.6895) + (xy 144.36 95.84825) + (xy 144.214 95.84825) + (xy 144.164 95.79825) + (xy 144.164 95.521376) + (xy 144.166549 95.495495) + (xy 144.156374 95.392186) + (xy 144.126239 95.292846) + (xy 144.118998 95.279299) + (xy 144.077304 95.201294) + (xy 144.011448 95.121048) + (xy 143.991334 95.104541) + (xy 143.91 95.023207) + (xy 143.91 92.73022) + (xy 143.911407 92.7305) + (xy 144.054613 92.7305) + (xy 144.195068 92.702562) + (xy 144.327374 92.647759) + (xy 144.446446 92.568198) + (xy 144.547708 92.466936) + (xy 144.627269 92.347864) + (xy 144.682072 92.215558) + (xy 144.71001 92.075103) + (xy 144.71001 91.931897) + (xy 144.682072 91.791442) + (xy 144.627269 91.659136) + (xy 144.56 91.55846) + (xy 144.56 91.275132) + (xy 144.589755 91.238876) + (xy 144.609198 91.2025) + (xy 144.622514 91.2025) + (xy 145.474886 92.054873) + (xy 145.474886 92.108186) + (xy 145.502824 92.248641) + (xy 145.557627 92.380947) + (xy 145.637188 92.500019) + (xy 145.73845 92.601281) + (xy 145.857522 92.680842) + (xy 145.989828 92.735645) + (xy 146.130283 92.763583) + (xy 146.273489 92.763583) + (xy 146.413944 92.735645) + (xy 146.54625 92.680842) + (xy 146.665322 92.601281) + (xy 146.766584 92.500019) + (xy 146.846145 92.380947) + (xy 146.900948 92.248641) + (xy 146.928886 92.108186) + (xy 146.928886 91.96498) + (xy 146.900948 91.824525) + (xy 146.846145 91.692219) + (xy 146.805854 91.631918) + (xy 146.882902 91.623046) + (xy 147.001666 91.58379) + (xy 147.11049 91.522119) + (xy 147.205192 91.440403) + (xy 147.213646 91.429566) + (xy 147.393 91.429566) + (xy 147.476707 91.421322) + (xy 147.518 91.408795) + (xy 147.559293 91.421322) + (xy 147.643 91.429566) + (xy 148.043 91.429566) + (xy 148.126707 91.421322) + (xy 148.207196 91.396905) + (xy 148.281376 91.357255) + (xy 148.346395 91.303895) + (xy 148.399755 91.238876) + (xy 148.419198 91.2025) + (xy 149.770711 91.2025) + (xy 150.81 92.241791) + (xy 150.81 92.295103) + (xy 150.837938 92.435558) + (xy 150.892741 92.567864) + (xy 150.972302 92.686936) + (xy 151.073564 92.788198) + (xy 151.192636 92.867759) + (xy 151.324942 92.922562) + (xy 151.465397 92.9505) + (xy 151.608603 92.9505) + (xy 151.749058 92.922562) + (xy 151.881364 92.867759) + (xy 152.000436 92.788198) + (xy 152.101698 92.686936) + (xy 152.181259 92.567864) + (xy 152.236062 92.435558) + (xy 152.264 92.295103) + (xy 152.264 92.151897) + (xy 152.236062 92.011442) + (xy 152.181259 91.879136) + (xy 152.101698 91.760064) + (xy 152.000436 91.658802) + (xy 151.881364 91.579241) + (xy 151.749058 91.524438) + (xy 151.608603 91.4965) + (xy 151.555291 91.4965) + (xy 150.379955 90.321166) + (xy 150.363448 90.301052) + (xy 150.283202 90.235196) + (xy 150.19165 90.186261) + (xy 150.09231 90.156126) + (xy 150.014881 90.1485) + (xy 149.989 90.145951) + (xy 149.963119 90.1485) + (xy 148.419198 90.1485) + (xy 148.399755 90.112124) + (xy 148.346395 90.047105) + (xy 148.281376 89.993745) + (xy 148.207196 89.954095) + (xy 148.126707 89.929678) + (xy 148.043 89.921434) + (xy 147.66812 89.921434) + (xy 147.633304 89.856298) + (xy 147.567448 89.776052) + (xy 147.547339 89.759549) + (xy 147.109129 89.321339) + (xy 147.139405 89.264696) + (xy 147.163822 89.184207) + (xy 147.172066 89.1005) + (xy 147.172066 88.891724) + (xy 147.213934 88.849856) + (xy 147.213934 89.1005) + (xy 147.222178 89.184207) + (xy 147.246595 89.264696) + (xy 147.286245 89.338876) + (xy 147.339605 89.403895) + (xy 147.404624 89.457255) + (xy 147.478804 89.496905) + (xy 147.559293 89.521322) + (xy 147.643 89.529566) + (xy 148.043 89.529566) + (xy 148.126707 89.521322) + (xy 148.207196 89.496905) + (xy 148.281376 89.457255) + (xy 148.346395 89.403895) + (xy 148.399755 89.338876) + (xy 148.419198 89.3025) + (xy 148.464082 89.3025) + (xy 148.584942 89.352562) + (xy 148.725397 89.3805) + (xy 148.868603 89.3805) + (xy 149.009058 89.352562) + (xy 149.141364 89.297759) + (xy 149.260436 89.218198) + (xy 149.361698 89.116936) + (xy 149.441259 88.997864) + (xy 149.496062 88.865558) + (xy 149.524 88.725103) + (xy 149.524 88.581897) + (xy 149.496062 88.441442) + (xy 149.441259 88.309136) + (xy 149.361698 88.190064) + (xy 149.260436 88.088802) + (xy 149.141364 88.009241) + (xy 149.009058 87.954438) + (xy 148.868603 87.9265) + (xy 148.725397 87.9265) + (xy 148.584942 87.954438) + (xy 148.452636 88.009241) + (xy 148.333564 88.088802) + (xy 148.307325 88.115041) + (xy 148.281376 88.093745) + (xy 148.207196 88.054095) + (xy 148.126707 88.029678) + (xy 148.043 88.021434) + (xy 147.714786 88.021434) + (xy 147.724 87.975113) + (xy 147.724 87.831907) + (xy 147.696062 87.691452) + (xy 147.641259 87.559146) + (xy 147.561698 87.440074) + (xy 147.483521 87.361897) + (xy 149.41 87.361897) + (xy 149.41 87.505103) + (xy 149.437938 87.645558) + (xy 149.492741 87.777864) + (xy 149.572302 87.896936) + (xy 149.673564 87.998198) + (xy 149.792636 88.077759) + (xy 149.924942 88.132562) + (xy 150.065397 88.1605) + (xy 150.208603 88.1605) + (xy 150.349058 88.132562) + (xy 150.481364 88.077759) + (xy 150.600436 87.998198) + (xy 150.638134 87.9605) + (xy 150.875866 87.9605) + (xy 150.953564 88.038198) + (xy 151.072636 88.117759) + (xy 151.204942 88.172562) + (xy 151.345397 88.2005) + (xy 151.488603 88.2005) + (xy 151.629058 88.172562) + (xy 151.761364 88.117759) + (xy 151.880436 88.038198) + (xy 151.981698 87.936936) + (xy 152.061259 87.817864) + (xy 152.116062 87.685558) + (xy 152.144 87.545103) + (xy 152.144 87.401897) + (xy 152.116062 87.261442) + (xy 152.061259 87.129136) + (xy 151.981698 87.010064) + (xy 151.880436 86.908802) + (xy 151.761364 86.829241) + (xy 151.629058 86.774438) + (xy 151.488603 86.7465) + (xy 151.345397 86.7465) + (xy 151.204942 86.774438) + (xy 151.072636 86.829241) + (xy 150.957009 86.9065) + (xy 150.638134 86.9065) + (xy 150.600436 86.868802) + (xy 150.481364 86.789241) + (xy 150.349058 86.734438) + (xy 150.208603 86.7065) + (xy 150.065397 86.7065) + (xy 149.924942 86.734438) + (xy 149.792636 86.789241) + (xy 149.673564 86.868802) + (xy 149.572302 86.970064) + (xy 149.492741 87.089136) + (xy 149.437938 87.221442) + (xy 149.41 87.361897) + (xy 147.483521 87.361897) + (xy 147.460436 87.338812) + (xy 147.341364 87.259251) + (xy 147.209058 87.204448) + (xy 147.068603 87.17651) + (xy 146.925397 87.17651) + (xy 146.784942 87.204448) + (xy 146.652636 87.259251) + (xy 146.533564 87.338812) + (xy 146.432302 87.440074) + (xy 146.352741 87.559146) + (xy 146.297938 87.691452) + (xy 146.27 87.831907) + (xy 146.27 87.975113) + (xy 146.280439 88.027595) + (xy 146.259293 88.029678) + (xy 146.178804 88.054095) + (xy 146.104624 88.093745) + (xy 146.039605 88.147105) + (xy 145.986245 88.212124) + (xy 145.946595 88.286304) + (xy 145.922178 88.366793) + (xy 145.913934 88.4505) + (xy 145.913934 89.0005) + (xy 145.338134 89.0005) + (xy 145.421698 88.916936) + (xy 145.501259 88.797864) + (xy 145.556062 88.665558) + (xy 145.584 88.525103) + (xy 145.584 88.381897) + (xy 145.556062 88.241442) + (xy 145.501259 88.109136) + (xy 145.421698 87.990064) + (xy 145.320436 87.888802) + (xy 145.201364 87.809241) + (xy 145.069058 87.754438) + (xy 144.928603 87.7265) + (xy 144.785397 87.7265) + (xy 144.644942 87.754438) + (xy 144.512636 87.809241) + (xy 144.393564 87.888802) + (xy 144.292302 87.990064) + (xy 144.268974 88.024977) + (xy 144.233 88.021434) + (xy 143.91 88.021434) + (xy 143.91 83.861789) + (xy 144.626049 84.577839) + (xy 144.642552 84.597948) + (xy 144.722798 84.663804) + (xy 144.81435 84.712739) + (xy 144.91369 84.742874) + (xy 144.991119 84.7505) + (xy 144.991121 84.7505) + (xy 145.016999 84.753049) + (xy 145.042877 84.7505) + (xy 150.478711 84.7505) + (xy 150.71 84.98179) + (xy 150.71 85.035103) + (xy 150.737938 85.175558) + (xy 150.792741 85.307864) + (xy 150.872302 85.426936) + (xy 150.973564 85.528198) + (xy 151.092636 85.607759) + (xy 151.224942 85.662562) + (xy 151.365397 85.6905) + (xy 151.508603 85.6905) + (xy 151.649058 85.662562) + (xy 151.781364 85.607759) + (xy 151.900436 85.528198) + (xy 152.001698 85.426936) + (xy 152.081259 85.307864) + (xy 152.136062 85.175558) + (xy 152.164 85.035103) + (xy 152.164 84.891897) + (xy 152.136062 84.751442) + (xy 152.081259 84.619136) + (xy 152.001698 84.500064) + (xy 151.900436 84.398802) + (xy 151.781364 84.319241) + (xy 151.649058 84.264438) + (xy 151.508603 84.2365) + (xy 151.45529 84.2365) + (xy 151.087955 83.869166) + (xy 151.071448 83.849052) + (xy 150.991202 83.783196) + (xy 150.94232 83.757069) + (xy 151.061364 83.707759) + (xy 151.180436 83.628198) + (xy 151.281698 83.526936) + (xy 151.361259 83.407864) + (xy 151.414004 83.280526) + (xy 151.424844 83.287769) + (xy 151.55715 83.342572) + (xy 151.697605 83.37051) + (xy 151.840811 83.37051) + (xy 151.981266 83.342572) + (xy 152.113572 83.287769) + (xy 152.232644 83.208208) + (xy 152.270342 83.17051) + (xy 153.181109 83.17051) + (xy 153.20699 83.173059) + (xy 153.232871 83.17051) + (xy 153.3103 83.162884) + (xy 153.40964 83.132749) + (xy 153.488683 83.0905) + (xy 153.558603 83.0905) + (xy 153.699058 83.062562) + (xy 153.831364 83.007759) + (xy 153.950436 82.928198) + (xy 154.051698 82.826936) + (xy 154.131259 82.707864) + (xy 154.186062 82.575558) + (xy 154.214 82.435103) + (xy 154.214 82.30579) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 139.049998 91.5865) + (xy 138.99425 91.5865) + (xy 139.049998 91.530752) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 156.318 90.33225) + (xy 156.268 90.38225) + (xy 156.268 90.658) + (xy 156.248595 90.694304) + (xy 156.224178 90.774793) + (xy 156.215934 90.8585) + (xy 156.215934 91.3305) + (xy 156.122 91.3305) + (xy 156.122 91.3105) + (xy 156.048 91.3105) + (xy 156.048 91.0565) + (xy 156.122 91.0565) + (xy 156.122 90.38225) + (xy 155.98177 90.24202) + (xy 156.186224 90.037566) + (xy 156.318 90.037566) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 145.747647 90.120956) + (xy 145.714305 90.241514) + (xy 145.705123 90.366261) + (xy 145.708 90.38975) + (xy 145.86675 90.5485) + (xy 146.47 90.5485) + (xy 146.47 90.5285) + (xy 146.563934 90.5285) + (xy 146.563934 90.8225) + (xy 146.47 90.8225) + (xy 146.47 90.8025) + (xy 145.86675 90.8025) + (xy 145.789921 90.879329) + (xy 145.231758 90.321166) + (xy 145.215251 90.301052) + (xy 145.135005 90.235196) + (xy 145.043453 90.186261) + (xy 144.944113 90.156126) + (xy 144.866684 90.1485) + (xy 144.840803 90.145951) + (xy 144.814922 90.1485) + (xy 144.609198 90.1485) + (xy 144.589755 90.112124) + (xy 144.542464 90.0545) + (xy 145.781085 90.0545) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 150.082636 80.087759) + (xy 150.214942 80.142562) + (xy 150.355397 80.1705) + (xy 150.498603 80.1705) + (xy 150.639058 80.142562) + (xy 150.748032 80.097423) + (xy 150.750804 80.098905) + (xy 150.831293 80.123322) + (xy 150.915 80.131566) + (xy 151.238 80.131566) + (xy 151.238 80.42625) + (xy 151.188 80.47625) + (xy 151.188 80.752) + (xy 151.168595 80.788304) + (xy 151.144178 80.868793) + (xy 151.135934 80.9525) + (xy 151.135934 81.4245) + (xy 151.042 81.4245) + (xy 151.042 81.4045) + (xy 150.43875 81.4045) + (xy 150.28 81.56325) + (xy 150.277123 81.586739) + (xy 150.286305 81.711486) + (xy 150.310322 81.798326) + (xy 150.288636 81.82475) + (xy 150.276696 81.839299) + (xy 150.227761 81.930851) + (xy 150.197626 82.030191) + (xy 150.187451 82.1335) + (xy 150.190001 82.15939) + (xy 150.190001 82.562365) + (xy 150.152302 82.600064) + (xy 150.072741 82.719136) + (xy 150.017938 82.851442) + (xy 149.99 82.991897) + (xy 149.99 83.135103) + (xy 150.017938 83.275558) + (xy 150.072741 83.407864) + (xy 150.152302 83.526936) + (xy 150.253564 83.628198) + (xy 150.355786 83.6965) + (xy 146.477864 83.6965) + (xy 146.504 83.565103) + (xy 146.504 83.561769) + (xy 146.517 83.563049) + (xy 146.542881 83.5605) + (xy 146.62031 83.552874) + (xy 146.71965 83.522739) + (xy 146.811202 83.473804) + (xy 146.891448 83.407948) + (xy 146.907955 83.387834) + (xy 146.933223 83.362566) + (xy 147.072 83.362566) + (xy 147.182044 83.351728) + (xy 147.287859 83.319629) + (xy 147.385378 83.267504) + (xy 147.447 83.216932) + (xy 147.508622 83.267504) + (xy 147.606141 83.319629) + (xy 147.711956 83.351728) + (xy 147.822 83.362566) + (xy 148.092 83.362566) + (xy 148.202044 83.351728) + (xy 148.240901 83.339941) + (xy 148.272302 83.386936) + (xy 148.373564 83.488198) + (xy 148.492636 83.567759) + (xy 148.624942 83.622562) + (xy 148.765397 83.6505) + (xy 148.908603 83.6505) + (xy 149.049058 83.622562) + (xy 149.181364 83.567759) + (xy 149.300436 83.488198) + (xy 149.401698 83.386936) + (xy 149.481259 83.267864) + (xy 149.536062 83.135558) + (xy 149.564 82.995103) + (xy 149.564 82.94179) + (xy 149.841344 82.664447) + (xy 149.861448 82.647948) + (xy 149.927304 82.567702) + (xy 149.976239 82.47615) + (xy 150.006374 82.37681) + (xy 150.014 82.299381) + (xy 150.016549 82.2735) + (xy 150.014 82.247619) + (xy 150.014 80.968261) + (xy 150.277123 80.968261) + (xy 150.28 80.99175) + (xy 150.43875 81.1505) + (xy 151.042 81.1505) + (xy 151.042 80.47625) + (xy 150.88325 80.3175) + (xy 150.775098 80.329954) + (xy 150.656334 80.36921) + (xy 150.54751 80.430881) + (xy 150.452808 80.512597) + (xy 150.375868 80.611219) + (xy 150.319647 80.722956) + (xy 150.286305 80.843514) + (xy 150.277123 80.968261) + (xy 150.014 80.968261) + (xy 150.014 80.041898) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 146.309934 81.1705) + (xy 146.216 81.1705) + (xy 146.216 81.1505) + (xy 146.142 81.1505) + (xy 146.142 80.8965) + (xy 146.216 80.8965) + (xy 146.216 80.8765) + (xy 146.309934 80.8765) ) ) ) diff --git a/adder.kicad_pro b/adder.kicad_pro new file mode 100644 index 0000000..9637a0a --- /dev/null +++ b/adder.kicad_pro @@ -0,0 +1,601 @@ +{ + "board": { + "design_settings": { + "defaults": { + "board_outline_line_width": 0.049999999999999996, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.09999999999999999, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 3.2, + "height": 6.4, + "width": 6.4 + }, + "silk_line_width": 0.12, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.3 + } + }, + "diff_pair_dimensions": [], + "drc_exclusions": [], + "meta": { + "filename": "board_design_settings.json", + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "copper_edge_clearance": "error", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint_type_mismatch": "error", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zone_has_empty_net": "error", + "zones_intersect": "error" + }, + "rule_severitieslegacy_courtyards_overlap": true, + "rule_severitieslegacy_no_courtyard_defined": false, + "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.0, + "min_copper_edge_clearance": 0.024999999999999998, + "min_hole_clearance": 0.0, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_silk_clearance": 0.0, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.19999999999999998, + "min_via_annular_width": 0.049999999999999996, + "min_via_diameter": 0.39999999999999997, + "use_height_for_length_calcs": true + }, + "track_widths": [ + 0.0, + 0.5 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + }, + { + "diameter": 0.6, + "drill": 0.3 + } + ], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true + }, + "layer_presets": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_label_syntax": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "adder.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12.0, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6.0 + } + ], + "meta": { + "version": 2 + }, + "net_colors": null + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.25, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.08 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "ngspice": { + "fix_include_paths": true, + "fix_passive_vals": false, + "meta": { + "version": 0 + }, + "model_mode": 0, + "workbook_filename": "" + }, + "page_layout_descr_file": "", + "plot_directory": "", + "spice_adjust_passive_values": false, + "spice_external_command": "spice \"%I\"", + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "f486f7f9-425d-4b9b-a29e-3539703e9a6c", + "" + ], + [ + "00000000-0000-0000-0000-0000617f1e57", + "Sheet617F1E56" + ], + [ + "00000000-0000-0000-0000-0000617f496c", + "Sheet617F496B" + ], + [ + "00000000-0000-0000-0000-0000617f49c9", + "Sheet617F49C8" + ], + [ + "00000000-0000-0000-0000-0000617f77f8", + "Sheet617F77F7" + ], + [ + "00000000-0000-0000-0000-0000617f9d75", + "Sheet617F9D74" + ], + [ + "00000000-0000-0000-0000-0000617fa69e", + "Sheet617FA69D" + ], + [ + "00000000-0000-0000-0000-0000617fa71e", + "Sheet617FA71D" + ], + [ + "00000000-0000-0000-0000-0000617fb4ec", + "Sheet617FB4EB" + ], + [ + "00000000-0000-0000-0000-0000617fd278", + "Sheet617FD277" + ], + [ + "00000000-0000-0000-0000-0000617ea119", + "sheet617EA114" + ], + [ + "00000000-0000-0000-0000-0000617eb758", + "sheet617EB753" + ], + [ + "00000000-0000-0000-0000-0000617ecbc3", + "sheet617ECBBE" + ], + [ + "00000000-0000-0000-0000-0000617edff6", + "sheet617EDFF1" + ], + [ + "00000000-0000-0000-0000-0000617ef7a1", + "sheet617EF79C" + ], + [ + "00000000-0000-0000-0000-0000617f0bfe", + "sheet617F0BFA" + ], + [ + "00000000-0000-0000-0000-0000617f2465", + "sheet617F2460" + ], + [ + "00000000-0000-0000-0000-0000617f3f28", + "sheet617F3F23" + ], + [ + "00000000-0000-0000-0000-0000617f542f", + "sheet617F542A" + ], + [ + "00000000-0000-0000-0000-0000617f68da", + "sheet617F68D5" + ], + [ + "00000000-0000-0000-0000-000061801128", + "sheet61801123" + ], + [ + "00000000-0000-0000-0000-00006180167a", + "sheet61801675" + ], + [ + "00000000-0000-0000-0000-000061801b8b", + "sheet61801B86" + ], + [ + "00000000-0000-0000-0000-0000618020cc", + "sheet618020C7" + ], + [ + "00000000-0000-0000-0000-0000618026b5", + "sheet618026B1" + ], + [ + "00000000-0000-0000-0000-000061802baa", + "sheet61802BA6" + ], + [ + "00000000-0000-0000-0000-00006180e2ae", + "sheet6180E2A9" + ], + [ + "00000000-0000-0000-0000-00006180f852", + "sheet6180F84E" + ], + [ + "00000000-0000-0000-0000-000061827e85", + "sheet61827E81" + ], + [ + "00000000-0000-0000-0000-00006188a626", + "sheet6188A621" + ], + [ + "00000000-0000-0000-0000-00006188f5bd", + "sheet6188F5B8" + ], + [ + "00000000-0000-0000-0000-00006189461a", + "sheet61894610" + ], + [ + "00000000-0000-0000-0000-00006189e1b8", + "sheet6189E1B4" + ], + [ + "00000000-0000-0000-0000-0000618ad774", + "sheet618AD770" + ], + [ + "00000000-0000-0000-0000-0000618bdd8a", + "sheet618BDD86" + ], + [ + "00000000-0000-0000-0000-0000618f6378", + "sheet618F6373" + ], + [ + "00000000-0000-0000-0000-000061902300", + "sheet619022FA" + ], + [ + "00000000-0000-0000-0000-000061902305", + "sheet619022FB" + ], + [ + "00000000-0000-0000-0000-00006191b1a4", + "sheet6191B1A0" + ], + [ + "00000000-0000-0000-0000-000061921364", + "sheet61921360" + ], + [ + "00000000-0000-0000-0000-00006196c125", + "sheet6196C11E" + ], + [ + "00000000-0000-0000-0000-00006196c12a", + "sheet6196C11F" + ], + [ + "00000000-0000-0000-0000-00006196c12e", + "sheet6196C120" + ] + ], + "text_variables": {} +} diff --git a/adder.kicad_sch b/adder.kicad_sch new file mode 100644 index 0000000..159a1d1 --- /dev/null +++ b/adder.kicad_sch @@ -0,0 +1,3447 @@ +(kicad_sch (version 20210621) (generator eeschema) + + (uuid f486f7f9-425d-4b9b-a29e-3539703e9a6c) + + (paper "A4") + + (lib_symbols + (symbol "Connector:Conn_01x04_Female" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x04_Female" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x04_Female_1_1" + (arc (start 0 -4.572) (mid -0.508 -5.08) (end 0 -5.588) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 -2.032) (mid -0.508 -2.54) (end 0 -3.048) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -5.08) + (xy -0.508 -5.08) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -2.54) + (xy -0.508 -2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy -0.508 0) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 2.54) + (xy -0.508 2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 0.508) (mid -0.508 0) (end 0 -0.508) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 3.048) (mid -0.508 2.54) (end 0 2.032) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:Conn_01x04_Male" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x04_Male" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x04_Male_1_1" + (polyline + (pts + (xy 1.27 -5.08) + (xy 0.8636 -5.08) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -2.54) + (xy 0.8636 -2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy 0.8636 0) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 2.54) + (xy 0.8636 2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 0.8636 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 0.8636 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 0.8636 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 0.8636 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (pin passive line (at 5.08 2.54 180) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -2.54 180) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -5.08 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x01" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x01" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x01_1_1" + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 1.27) (end 1.27 -1.27) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "H" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole_Pad" (id 1) (at 0 4.445 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole with connection" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*Pad*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_Pad_0_1" + (circle (center 0 1.27) (radius 1.27) + (stroke (width 1.27) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "MountingHole_Pad_1_1" + (pin input line (at 0 -2.54 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Switch:SW_SPDT" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (id 0) (at 0 4.318 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_SPDT" (id 1) (at 0 -5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "switch single-pole double-throw spdt ON-ON" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Switch, single pole double throw" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_SPDT_0_0" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 -2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "SW_SPDT_0_1" + (polyline + (pts + (xy -1.524 0.254) + (xy 1.651 2.286) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "SW_SPDT_1_1" + (pin passive line (at 5.08 2.54 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -2.54 180) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:VDD" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"VDD\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDD_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "VDD_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 48.26 137.16) (diameter 0) (color 0 0 0 0)) + (junction (at 99.06 180.34) (diameter 0) (color 0 0 0 0)) + (junction (at 234.95 123.19) (diameter 0) (color 0 0 0 0)) + (junction (at 128.27 180.34) (diameter 0) (color 0 0 0 0)) + (junction (at 49.53 158.75) (diameter 0) (color 0 0 0 0)) + (junction (at 176.53 45.72) (diameter 0) (color 0 0 0 0)) + (junction (at 43.18 45.72) (diameter 0) (color 0 0 0 0)) + (junction (at 50.8 66.04) (diameter 0) (color 0 0 0 0)) + (junction (at 175.26 137.16) (diameter 0) (color 0 0 0 0)) + (junction (at 146.05 100.33) (diameter 0) (color 0 0 0 0)) + (junction (at 74.93 45.72) (diameter 0) (color 0 0 0 0)) + (junction (at 41.91 66.04) (diameter 0) (color 0 0 0 0)) + (junction (at 173.99 100.33) (diameter 0) (color 0 0 0 0)) + (junction (at 111.76 137.16) (diameter 0) (color 0 0 0 0)) + (junction (at 49.53 137.16) (diameter 0) (color 0 0 0 0)) + (junction (at 222.25 100.33) (diameter 0) (color 0 0 0 0)) + (junction (at 76.2 100.33) (diameter 0) (color 0 0 0 0)) + (junction (at 195.58 158.75) (diameter 0) (color 0 0 0 0)) + (junction (at 176.53 66.04) (diameter 0) (color 0 0 0 0)) + (junction (at 110.49 100.33) (diameter 0) (color 0 0 0 0)) + (junction (at 234.95 140.97) (diameter 0) (color 0 0 0 0)) + (junction (at 234.95 80.01) (diameter 0) (color 0 0 0 0)) + (junction (at 60.96 180.34) (diameter 0) (color 0 0 0 0)) + (junction (at 234.95 62.23) (diameter 0) (color 0 0 0 0)) + (junction (at 104.14 158.75) (diameter 0) (color 0 0 0 0)) + (junction (at 149.86 137.16) (diameter 0) (color 0 0 0 0)) + (junction (at 149.86 45.72) (diameter 0) (color 0 0 0 0)) + (junction (at 109.22 45.72) (diameter 0) (color 0 0 0 0)) + (junction (at 168.91 158.75) (diameter 0) (color 0 0 0 0)) + (junction (at 31.75 180.34) (diameter 0) (color 0 0 0 0)) + (junction (at 266.7 22.86) (diameter 0) (color 0 0 0 0)) + (junction (at 168.91 66.04) (diameter 0) (color 0 0 0 0)) + (junction (at 143.51 158.75) (diameter 0) (color 0 0 0 0)) + (junction (at 110.49 66.04) (diameter 0) (color 0 0 0 0)) + (junction (at 69.85 158.75) (diameter 0) (color 0 0 0 0)) + + (wire (pts (xy 234.95 123.19) (xy 250.19 123.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01200c48-d858-42f3-82a7-c0e12436ece5) + ) + (wire (pts (xy 219.71 151.13) (xy 217.17 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 03550916-ce8f-4886-819c-7a73fb1930dc) + ) + (wire (pts (xy 115.57 137.16) (xy 111.76 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0374b906-745b-4e9c-962f-abcffd2be8d6) + ) + (wire (pts (xy 149.86 53.34) (xy 139.7 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 03e415b0-378d-4f5a-a7d7-b965870c3814) + ) + (wire (pts (xy 25.4 24.13) (xy 34.29 24.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0778b2c4-87fc-49d4-9c04-a6f009565426) + ) + (wire (pts (xy 139.7 143.51) (xy 149.86 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 07f91766-c604-403a-ac4a-7c174d5cec0e) + ) + (wire (pts (xy 100.33 143.51) (xy 111.76 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 08b56d53-2962-4b4a-81e8-e04226695d9d) + ) + (wire (pts (xy 50.8 66.04) (xy 50.8 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0a9ed00b-4644-42f7-94a3-a0f285d8edd4) + ) + (wire (pts (xy 111.76 124.46) (xy 111.76 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0c7554de-0b84-4ed6-8f49-750401eb085d) + ) + (wire (pts (xy 146.05 90.17) (xy 152.4 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0c765df6-ef18-479c-8f0e-d0eb6d0d0dc8) + ) + (wire (pts (xy 228.6 134.62) (xy 250.19 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0df486a2-d375-46ab-8f49-b469ea5c55f4) + ) + (wire (pts (xy 146.05 100.33) (xy 146.05 110.49)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0f19f719-bd7c-4f3c-804a-d8c8d2344836) + ) + (wire (pts (xy 31.75 171.45) (xy 38.1 171.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 140acf39-9dea-458a-a499-766f73a8e6bf) + ) + (wire (pts (xy 76.2 93.98) (xy 76.2 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 177e3480-dd75-4f90-97ba-ca5f1e841070) + ) + (wire (pts (xy 110.49 93.98) (xy 110.49 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1a5917f9-5940-449c-a583-b5dd8ebccf73) + ) + (wire (pts (xy 49.53 137.16) (xy 48.26 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1c46e2c4-cd59-4e8c-83f4-869a5e88f78a) + ) + (wire (pts (xy 111.76 114.3) (xy 100.33 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1d169235-13f8-4efe-959c-3eacaccc4b10) + ) + (wire (pts (xy 50.8 184.15) (xy 60.96 184.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1eb3efff-ae13-40ef-9a38-a0a941269e1e) + ) + (wire (pts (xy 110.49 59.69) (xy 110.49 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 222015d0-5576-4144-8add-58e9c62c8504) + ) + (wire (pts (xy 99.06 180.34) (xy 99.06 171.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 23c1fa2b-9768-4ddb-b0e6-b10e78e9814d) + ) + (wire (pts (xy 200.66 53.34) (xy 190.5 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 24aa5348-b0a1-4c4d-acc4-d5dbe1bf5cff) + ) + (wire (pts (xy 41.91 66.04) (xy 41.91 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 25549abf-9705-4b2e-afca-a6e170f03066) + ) + (wire (pts (xy 41.91 137.16) (xy 48.26 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 26279dd9-dc7a-46a1-80a3-32a1945376d2) + ) + (wire (pts (xy 48.26 137.16) (xy 48.26 128.27)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 26886988-ef08-443c-93e2-59bce21dc030) + ) + (wire (pts (xy 104.14 158.75) (xy 104.14 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 28603ce6-aacf-413c-b5d3-e76b5559a409) + ) + (wire (pts (xy 109.22 45.72) (xy 149.86 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 28abe90f-904e-47cf-82a6-f3a919d013fd) + ) + (wire (pts (xy 266.7 22.86) (xy 275.59 22.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 299ff30c-5da1-4d90-a8ec-0efbffea9683) + ) + (wire (pts (xy 173.99 100.33) (xy 222.25 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 29a3f6c2-7b13-485a-9abf-855827a24543) + ) + (wire (pts (xy 195.58 158.75) (xy 195.58 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2a350a49-4001-423e-9256-59fa43996b46) + ) + (wire (pts (xy 168.91 151.13) (xy 165.1 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2a8328fa-3ce7-47b4-a7d9-874cab6f65fe) + ) + (wire (pts (xy 223.52 77.47) (xy 223.52 80.01)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2a91ffc7-fb0c-483a-809e-42e7f950e60c) + ) + (wire (pts (xy 74.93 53.34) (xy 66.04 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2bec6a34-cbb6-4aa6-9c9a-0a46410a05a9) + ) + (wire (pts (xy 143.51 158.75) (xy 143.51 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2ce1e9c4-b1dd-4bf4-b73f-c5d85871a68c) + ) + (wire (pts (xy 43.18 45.72) (xy 74.93 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2ff4c484-eb7d-44a2-a533-433b6d95f8ed) + ) + (wire (pts (xy 200.66 45.72) (xy 200.66 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 30bd4110-d53b-41c5-a16d-12cdb6b060ca) + ) + (wire (pts (xy 149.86 137.16) (xy 175.26 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3153b1de-1067-490e-af8f-4df577d69aef) + ) + (wire (pts (xy 168.91 66.04) (xy 176.53 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 32ccb75e-5503-46c6-b3e0-0633e11d96f2) + ) + (wire (pts (xy 173.99 93.98) (xy 173.99 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3516b6b2-444c-4e37-80cb-95e10b5bbdba) + ) + (wire (pts (xy 149.86 45.72) (xy 149.86 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3553c647-9895-4501-9f4e-5daf3c2a8951) + ) + (wire (pts (xy 110.49 66.04) (xy 110.49 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 373fe5f2-428c-48da-9624-b757a286e8b2) + ) + (wire (pts (xy 77.47 128.27) (xy 77.47 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 37ff496d-80f7-4ded-96ab-811b2b66d4c6) + ) + (wire (pts (xy 176.53 114.3) (xy 165.1 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 380741d8-5a91-41c8-9a57-05dd79274c5d) + ) + (wire (pts (xy 66.04 143.51) (xy 77.47 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 384455e8-550a-4837-8b03-c07e5a8bffd6) + ) + (wire (pts (xy 228.6 71.12) (xy 228.6 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 38f2b369-6981-4a82-8193-f5cc83f2e77e) + ) + (wire (pts (xy 165.1 143.51) (xy 175.26 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3aae864c-b33c-4555-94ac-1c4407773e59) + ) + (wire (pts (xy 77.47 137.16) (xy 49.53 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3b0755af-d3c5-48f7-8e95-02a62275c66a) + ) + (wire (pts (xy 50.8 71.12) (xy 53.34 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3c753aaa-de37-404a-95d9-f5baf1f4ac8b) + ) + (wire (pts (xy 110.49 100.33) (xy 118.11 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3cfb5e18-f047-41f6-879d-055dc2daac2e) + ) + (wire (pts (xy 243.84 100.33) (xy 255.27 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3d381e52-1680-44a6-8921-d1f561b63f49) + ) + (wire (pts (xy 110.49 87.63) (xy 110.49 77.47)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3d6da898-6b0c-46fa-bd3c-daf11e84826a) + ) + (wire (pts (xy 104.14 151.13) (xy 100.33 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 40f10c47-334a-46e1-9515-26ee6d1400b7) + ) + (wire (pts (xy 228.6 124.46) (xy 228.6 123.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 448e858a-2c5f-485c-a721-c43325a95869) + ) + (wire (pts (xy 228.6 68.58) (xy 250.19 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 47a5a26d-8fdf-403e-a255-b4d5633ce8c1) + ) + (wire (pts (xy 111.76 143.51) (xy 111.76 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 47b2040d-ec92-466b-9411-7e74f9d59457) + ) + (wire (pts (xy 25.4 21.59) (xy 34.29 21.59)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4af7157d-0428-4209-bb3d-f58cd7939ff7) + ) + (wire (pts (xy 100.33 93.98) (xy 110.49 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4b738dde-d4f5-45cd-b782-d599527c8ab6) + ) + (wire (pts (xy 60.96 175.26) (xy 60.96 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4bca15b1-134a-4a5f-bbaf-986112368175) + ) + (wire (pts (xy 49.53 158.75) (xy 69.85 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4e356f2b-128c-4009-99e4-3cf41c1d9147) + ) + (wire (pts (xy 60.96 184.15) (xy 60.96 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4ec019b2-a5fa-4c4c-9b87-ea3828960810) + ) + (wire (pts (xy 176.53 77.47) (xy 176.53 87.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 510ceecf-cf93-4c77-bdbd-9dded2a5c929) + ) + (wire (pts (xy 100.33 106.68) (xy 110.49 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5571d9da-cac8-4177-8882-9d64d6beaf84) + ) + (wire (pts (xy 222.25 106.68) (xy 222.25 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 56fef19d-5aa5-453a-ae9f-9c4718de377e) + ) + (wire (pts (xy 111.76 130.81) (xy 100.33 130.81)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5931fe6a-697f-41de-80c7-664b679a7f37) + ) + (wire (pts (xy 43.18 44.45) (xy 43.18 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5f10641a-58b0-4dcb-bade-9fe63d27c155) + ) + (wire (pts (xy 173.99 106.68) (xy 173.99 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 60795ca5-27de-4146-828b-e118fc772033) + ) + (wire (pts (xy 39.37 143.51) (xy 49.53 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 616d7912-5591-4550-8b26-1820e8994a78) + ) + (wire (pts (xy 193.04 66.04) (xy 193.04 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 62b72f51-84b1-44a9-a7c2-2a8d0c31c04c) + ) + (wire (pts (xy 176.53 45.72) (xy 176.53 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 63262ea3-0ed3-42bb-a1e6-6f50c9ff86ca) + ) + (wire (pts (xy 66.04 93.98) (xy 76.2 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 63a9d4be-a5e5-49a5-bba6-2c9e3d65f03e) + ) + (wire (pts (xy 149.86 137.16) (xy 149.86 128.27)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 63c79d71-8ab4-4a8c-9774-199c8dd2fa0d) + ) + (wire (pts (xy 110.49 106.68) (xy 110.49 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6473cc81-ad2c-4d8f-a389-6bd7ac0b9815) + ) + (wire (pts (xy 228.6 143.51) (xy 228.6 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 64b7e834-cdb7-4cf2-ad1a-287f64fea109) + ) + (wire (pts (xy 193.04 59.69) (xy 190.5 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 66657166-13e2-49bf-aeb5-dc4133be74a9) + ) + (wire (pts (xy 168.91 158.75) (xy 168.91 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 67307e7a-81a2-4dc7-8bc0-a5a509398b21) + ) + (wire (pts (xy 256.54 22.86) (xy 266.7 22.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 674d07c6-1717-4b79-96ad-3dc3e2c8bd3f) + ) + (wire (pts (xy 60.96 180.34) (xy 62.23 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 67853a59-67b6-4630-bd23-f96a2cdeb506) + ) + (wire (pts (xy 217.17 106.68) (xy 222.25 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6813ed29-e012-4c92-b2b1-2bce9a115d34) + ) + (wire (pts (xy 176.53 66.04) (xy 176.53 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6d99a57a-846f-46b1-a5b5-3b60924a8b94) + ) + (wire (pts (xy 223.52 87.63) (xy 223.52 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 71921a57-5b8d-471b-bdaf-24e94be301ab) + ) + (wire (pts (xy 50.8 66.04) (xy 41.91 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 72f78722-675d-4dbb-8c48-91e8b725c030) + ) + (wire (pts (xy 200.66 143.51) (xy 190.5 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 74039075-2404-4bbe-9638-5f1d99ff68a0) + ) + (wire (pts (xy 128.27 184.15) (xy 128.27 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7618ada4-255f-421f-8d21-56fdb316cfd1) + ) + (wire (pts (xy 104.14 158.75) (xy 143.51 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 765b800c-5d01-45c3-86b0-03a17383430a) + ) + (wire (pts (xy 168.91 158.75) (xy 195.58 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77149c82-4487-489b-81e1-e6c5b9a34f49) + ) + (wire (pts (xy 217.17 143.51) (xy 228.6 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77b4950a-205b-4f9e-8e84-c516343fd804) + ) + (wire (pts (xy 109.22 53.34) (xy 100.33 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7fcc89af-9414-49c4-98f5-636a3277d05c) + ) + (wire (pts (xy 143.51 158.75) (xy 168.91 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 821698d5-1039-4810-9182-9e9c5f810df3) + ) + (wire (pts (xy 228.6 140.97) (xy 234.95 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8234ec80-f547-499f-bf9f-b37d22a7a5ee) + ) + (wire (pts (xy 195.58 158.75) (xy 219.71 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 83749cb1-2c65-4798-a31f-3395e62aadb4) + ) + (wire (pts (xy 223.52 86.36) (xy 250.19 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 859e9063-e18f-4b5c-9704-14474157ea90) + ) + (wire (pts (xy 165.1 93.98) (xy 173.99 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8645d4b4-c76f-4353-ae6a-c65d54b8a2de) + ) + (wire (pts (xy 217.17 77.47) (xy 223.52 77.47)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8690b4fd-acab-44e7-8810-e2d89da38a74) + ) + (wire (pts (xy 217.17 93.98) (xy 222.25 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 870a2bca-f34d-4980-82a4-58f69c14e2df) + ) + (wire (pts (xy 43.18 45.72) (xy 43.18 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8959a5ae-34c0-466f-9d74-ea92bb315a6c) + ) + (wire (pts (xy 217.17 59.69) (xy 223.52 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 89ccdaa3-ee2e-4bea-9176-c143c730f750) + ) + (wire (pts (xy 69.85 158.75) (xy 69.85 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8a8163d1-fbe2-40eb-8f44-486535b5b79e) + ) + (wire (pts (xy 176.53 53.34) (xy 165.1 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8a8352b2-d5d5-404c-ae43-a4eb60d039ee) + ) + (wire (pts (xy 48.26 128.27) (xy 53.34 128.27)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8d86c28c-a64d-4734-9142-f504e4a57fa0) + ) + (wire (pts (xy 200.66 137.16) (xy 200.66 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 90f965c9-208e-4e01-b4fc-2961e6c0d7dd) + ) + (wire (pts (xy 175.26 137.16) (xy 200.66 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 91594487-3af5-481d-a719-08fee8f22a0e) + ) + (wire (pts (xy 228.6 114.3) (xy 228.6 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 93495052-c878-4f1e-a3c2-65982ffd5065) + ) + (wire (pts (xy 143.51 151.13) (xy 139.7 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 94a5b071-3809-4c3b-b8c6-87e7471ed68e) + ) + (wire (pts (xy 66.04 87.63) (xy 76.2 87.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 95197b80-f793-4b40-84af-2c31c4804132) + ) + (wire (pts (xy 149.86 59.69) (xy 149.86 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 952faf71-b734-46b0-9664-7613f44c87e3) + ) + (wire (pts (xy 146.05 110.49) (xy 152.4 110.49)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 95644ab8-36d5-459e-8e2e-59657536f1db) + ) + (wire (pts (xy 31.75 187.96) (xy 38.1 187.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 96fa6cc2-1fe6-48a6-9e21-2770fb86b9db) + ) + (wire (pts (xy 111.76 137.16) (xy 111.76 130.81)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 97d41a55-2aad-41a9-b8c2-944b4ca199c8) + ) + (wire (pts (xy 74.93 45.72) (xy 74.93 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 981ac597-946c-4de3-a27c-65957f2c034a) + ) + (wire (pts (xy 217.17 87.63) (xy 223.52 87.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9ad8a82e-2ef7-49cc-b4ec-d44f3056dea9) + ) + (wire (pts (xy 146.05 100.33) (xy 146.05 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9d1abff2-a415-40b2-a019-0fb6abe7185c) + ) + (wire (pts (xy 149.86 143.51) (xy 149.86 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9e2ab873-8608-4e2e-a987-15fcae66cd37) + ) + (wire (pts (xy 219.71 158.75) (xy 219.71 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9f65ba65-aa77-4187-b1da-03c720c321c6) + ) + (wire (pts (xy 77.47 114.3) (xy 66.04 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a4e3a9df-0a5f-453a-88e4-00edf871c44c) + ) + (wire (pts (xy 217.17 71.12) (xy 228.6 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a6e280ab-e754-4917-ac84-74e311517c67) + ) + (wire (pts (xy 66.04 106.68) (xy 76.2 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a7777430-6f28-4523-b85e-18e3e7a99145) + ) + (wire (pts (xy 25.4 29.21) (xy 34.29 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a7fa43a4-0e34-47b3-9da3-7b7d3a1fcfae) + ) + (wire (pts (xy 130.81 100.33) (xy 146.05 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a85cc745-0af3-4230-bf6c-dd69db72c881) + ) + (wire (pts (xy 234.95 62.23) (xy 250.19 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid aa56dd51-edaa-4a5f-96bc-292a668190cb) + ) + (wire (pts (xy 76.2 87.63) (xy 76.2 77.47)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ac1aff08-9c38-4271-8dee-56c901d1c027) + ) + (wire (pts (xy 99.06 180.34) (xy 99.06 187.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ac4772a3-28a1-4324-9ac7-329ebc7d1b08) + ) + (wire (pts (xy 68.58 59.69) (xy 68.58 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid acc7cea9-b7b9-4341-9c90-0964e071294b) + ) + (wire (pts (xy 195.58 151.13) (xy 190.5 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid acf6f8c5-be24-4952-adf3-6bebd20ca5ec) + ) + (wire (pts (xy 109.22 45.72) (xy 109.22 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae913ab3-381f-4872-b1c1-75d7d28c9eb2) + ) + (wire (pts (xy 114.3 66.04) (xy 110.49 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b245c3a3-3b45-4d17-8a26-f148c55a317c) + ) + (wire (pts (xy 74.93 180.34) (xy 76.2 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b342806d-0705-4b60-9bfe-d2cb2cab5549) + ) + (wire (pts (xy 43.18 53.34) (xy 39.37 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b370ebe3-0229-4740-b51f-6582552cb45e) + ) + (wire (pts (xy 223.52 62.23) (xy 234.95 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b3b9ce4c-959c-441a-82c3-c8a7ba0ad046) + ) + (wire (pts (xy 165.1 77.47) (xy 176.53 77.47)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b43cb0d7-8453-453a-8da5-a39e3f1ff3b9) + ) + (wire (pts (xy 176.53 66.04) (xy 193.04 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b51bfd5e-1cac-454d-a78a-ab7f5f6670bc) + ) + (wire (pts (xy 110.49 77.47) (xy 100.33 77.47)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b6f10064-28da-4e6b-bf99-719ae93d1705) + ) + (wire (pts (xy 165.1 106.68) (xy 173.99 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ba97a5be-a56f-4785-8e5f-b0045b618327) + ) + (wire (pts (xy 128.27 175.26) (xy 128.27 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bb702052-eeae-4568-94cb-3092d2ca9c16) + ) + (wire (pts (xy 176.53 71.12) (xy 165.1 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bc3cdd75-905e-467d-b789-7aafc7e389d7) + ) + (wire (pts (xy 223.52 80.01) (xy 234.95 80.01)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bd4929c8-f1de-4599-b9d5-eda7701a1c68) + ) + (wire (pts (xy 31.75 180.34) (xy 31.75 171.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid be818085-2c05-4b39-b308-074bbb6903e8) + ) + (wire (pts (xy 50.8 175.26) (xy 60.96 175.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c0f5d36c-2f28-4c3b-bfa2-0f7f3a015a2c) + ) + (wire (pts (xy 74.93 45.72) (xy 109.22 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c12b2ed2-92c5-4687-b68b-4521eaa8b570) + ) + (wire (pts (xy 66.04 59.69) (xy 68.58 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c303e996-0b41-4d12-808a-2c94e6ea4716) + ) + (wire (pts (xy 223.52 59.69) (xy 223.52 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c3930c51-bf20-43d8-ad09-b30ba0832912) + ) + (wire (pts (xy 39.37 151.13) (xy 43.18 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c4560a88-7a54-439a-b231-084f6373085b) + ) + (wire (pts (xy 69.85 151.13) (xy 66.04 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c59c7a23-cadc-4b80-b61a-c0358994e493) + ) + (wire (pts (xy 76.2 100.33) (xy 110.49 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c5c378bc-fcbf-4e63-abae-63e58dbc1af3) + ) + (wire (pts (xy 99.06 171.45) (xy 105.41 171.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c82bea0a-5170-43f1-b2e7-2a264e2e3d0f) + ) + (wire (pts (xy 76.2 77.47) (xy 66.04 77.47)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c95a351b-afe9-495b-b81c-ba085a4eec7b) + ) + (wire (pts (xy 234.95 80.01) (xy 250.19 80.01)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c9915541-1495-41b2-8331-2aad4c8834a6) + ) + (wire (pts (xy 149.86 128.27) (xy 152.4 128.27)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c9b68895-79cd-4e09-9101-622f8ec43681) + ) + (wire (pts (xy 165.1 128.27) (xy 176.53 128.27)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cd5f5cef-4caf-4b07-9b66-38769d65d8a2) + ) + (wire (pts (xy 176.53 87.63) (xy 165.1 87.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cee4b1e5-654a-42da-a642-f4a083bc5dcc) + ) + (wire (pts (xy 43.18 158.75) (xy 49.53 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cfe78862-7d99-4978-9047-bd5789fad066) + ) + (wire (pts (xy 234.95 140.97) (xy 250.19 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d0072c85-db77-4291-aace-2b74f1ef9f0f) + ) + (wire (pts (xy 66.04 128.27) (xy 77.47 128.27)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d058bf1c-9f93-4195-9f65-b68b9f143a94) + ) + (wire (pts (xy 222.25 93.98) (xy 222.25 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d0665dc9-11ab-4335-a10a-7023fe8ff1ef) + ) + (wire (pts (xy 165.1 59.69) (xy 168.91 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d12bea3d-c94f-4843-bfdb-a54d1e2cb842) + ) + (wire (pts (xy 118.11 175.26) (xy 128.27 175.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d2221858-5c5d-4dd8-992e-edaa0f6ff12f) + ) + (wire (pts (xy 176.53 45.72) (xy 200.66 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d3a57a65-8f98-44dc-ba6e-0b902bbfeca0) + ) + (wire (pts (xy 49.53 143.51) (xy 49.53 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d5227539-887c-4d26-9508-7af1d35186d6) + ) + (wire (pts (xy 228.6 132.08) (xy 228.6 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d58d9865-099e-4a73-9b55-1525d22980e7) + ) + (wire (pts (xy 100.33 124.46) (xy 111.76 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d6747e74-1f06-4d77-b935-2d80fe554b05) + ) + (wire (pts (xy 76.2 106.68) (xy 76.2 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d766a43b-c601-4e32-8062-aa262d759c63) + ) + (wire (pts (xy 43.18 151.13) (xy 43.18 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d8c39824-5efc-4a9e-8366-fed7b1212b5a) + ) + (wire (pts (xy 217.17 124.46) (xy 228.6 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dcd79447-5643-47a5-bcb8-951d379b0e51) + ) + (wire (pts (xy 100.33 87.63) (xy 110.49 87.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ded65b42-a9b8-421d-9f97-47005de1328d) + ) + (wire (pts (xy 149.86 66.04) (xy 168.91 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dee58ff3-b60f-4d38-81ae-d6f08517a830) + ) + (wire (pts (xy 90.17 180.34) (xy 99.06 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e064fdfb-dbc8-4dd4-8caf-505a7b5cd8f2) + ) + (wire (pts (xy 217.17 132.08) (xy 228.6 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e0902c49-45fc-4722-bd95-542244ac3129) + ) + (wire (pts (xy 217.17 114.3) (xy 228.6 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e3a7f708-d841-479f-b88b-6abc752b5dc5) + ) + (wire (pts (xy 99.06 187.96) (xy 105.41 187.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e44cde77-ec85-4a4c-b204-2618ff1ed426) + ) + (wire (pts (xy 175.26 143.51) (xy 175.26 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e494a538-142c-434b-8d61-d01ec4f02efd) + ) + (wire (pts (xy 22.86 180.34) (xy 31.75 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e52bfee4-c456-4f78-ba57-327d1f4465f3) + ) + (wire (pts (xy 110.49 71.12) (xy 100.33 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e70e5c51-ed01-451d-abeb-e12babf63976) + ) + (wire (pts (xy 31.75 180.34) (xy 31.75 187.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e8c9b408-6170-4108-902a-61c85e3c3068) + ) + (wire (pts (xy 176.53 128.27) (xy 176.53 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ea9e8a57-b3e7-4ff4-a3f7-b6640cf693f4) + ) + (wire (pts (xy 69.85 158.75) (xy 104.14 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ec2dca2f-15d8-4c8e-aa39-9286007e391e) + ) + (wire (pts (xy 139.7 59.69) (xy 149.86 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ef3a99bd-4636-4da7-81b8-b52b5d5b3801) + ) + (wire (pts (xy 142.24 180.34) (xy 143.51 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f1141d98-fa43-44a3-a2a7-642f1c204c6a) + ) + (wire (pts (xy 168.91 59.69) (xy 168.91 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f1266347-b28d-4e01-b85f-0acb28fc17c7) + ) + (wire (pts (xy 77.47 143.51) (xy 77.47 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f1304461-08e2-42d8-a827-2c0d7b6ec558) + ) + (wire (pts (xy 228.6 123.19) (xy 234.95 123.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f13f16d2-3a75-4ef5-a2b9-6cdc51c180c6) + ) + (wire (pts (xy 222.25 100.33) (xy 231.14 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f27437d6-b205-4327-82ca-fb93b4e7ff44) + ) + (wire (pts (xy 100.33 59.69) (xy 110.49 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f34491d8-d669-44c5-bfed-65ae85189f56) + ) + (wire (pts (xy 118.11 184.15) (xy 128.27 184.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f5675609-1c99-43fe-ac00-73d9c05d8ae5) + ) + (wire (pts (xy 128.27 180.34) (xy 129.54 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f5d47a0e-dc2d-48da-b1f9-a7691fe969b7) + ) + (wire (pts (xy 228.6 116.84) (xy 250.19 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f66aac20-a549-4388-92bd-a4ef287050ee) + ) + (wire (pts (xy 68.58 66.04) (xy 50.8 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f8c7a075-2cda-4aa0-bdaa-88830f072a69) + ) + (wire (pts (xy 41.91 59.69) (xy 39.37 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f914110a-1188-4bad-8a4f-4d3405db54c9) + ) + (wire (pts (xy 149.86 45.72) (xy 176.53 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid faac82ca-01f0-4051-acbf-bbfd5f2594a2) + ) + + (label "A" (at 127 55.88 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 03e3dae0-791e-4bf1-b24d-a9551633bdca) + ) + (label "A" (at 87.63 55.88 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 053feca7-4fa5-4319-996b-55cc879350a8) + ) + (label "GND" (at 29.21 24.13 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 13c0dbaf-4692-467d-b666-15784b02bee7) + ) + (label "A" (at 41.91 92.71 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 15dab7cc-7ea9-4bd8-8d62-2e748c1e15e7) + ) + (label "A" (at 204.47 147.32 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2296776f-4ed7-4900-a96d-4b8eeeab524b) + ) + (label "A" (at 87.63 147.32 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2f67768f-1973-4e1e-b112-15518218b38b) + ) + (label "Cout" (at 34.29 26.67 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 32020d67-da36-4eae-a06c-8f2f8488aae7) + ) + (label "Cout" (at 76.2 180.34 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4aabfcef-b347-4ff8-98f3-43e6c87fc130) + ) + (label "A" (at 204.47 55.88 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4f8e0501-37a3-4702-a293-e75864d85fe7) + ) + (label "B" (at 204.47 128.27 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 544baa85-27ab-4117-9fcd-868187764d92) + ) + (label "Cin" (at 204.47 110.49 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 55f4ff62-7102-419f-92ce-56e072f07d75) + ) + (label "B" (at 87.63 90.17 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6001324f-169f-4d9f-86b5-37ba4847ee8c) + ) + (label "A" (at 26.67 55.88 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 65902bf4-dd76-43c2-9f05-4ce4a0d78d92) + ) + (label "B" (at 41.91 111.76 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6a0d1dd9-6c46-4b3d-b942-217339ff298d) + ) + (label "A" (at 127 147.32 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6a8d23b4-bac4-4c92-9557-646e55c6e0ed) + ) + (label "Cin" (at 53.34 110.49 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6b4840b4-2cd4-4574-9fbb-404d7ec9886c) + ) + (label "Coutinv" (at 134.62 100.33 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6bc410b8-c850-49b1-800c-928ec61331fb) + ) + (label "B" (at 152.4 55.88 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 787f5371-ffd4-4cb8-96b3-0a6b738e9682) + ) + (label "Sinv" (at 252.73 100.33 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8ffa30f7-fb0f-44cb-8bc6-d4750f35b08a) + ) + (label "B" (at 87.63 110.49 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 940ae1b2-fd5e-4446-ae0f-b96a2a52cbbb) + ) + (label "VDD" (at 29.21 21.59 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b122bfd9-9904-4ebf-b2e6-b96810c04bad) + ) + (label "Coutinv" (at 22.86 180.34 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b1e1b3c8-0c6e-4a56-842c-a6e2bab11f4e) + ) + (label "Sinv" (at 90.17 180.34 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b5056450-efe5-42e3-ba29-340a92fa2339) + ) + (label "B" (at 53.34 147.32 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid be964b18-84c3-45b4-9993-ec177cbeb8ac) + ) + (label "Cin" (at 177.8 55.88 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c5fdb917-ce51-4d6b-8fad-57305f86f821) + ) + (label "B" (at 53.34 55.88 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c81772b8-b212-40ea-a711-0a00e2355fbc) + ) + (label "B" (at 204.47 73.66 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e1d4414f-2322-43ff-abe6-9f8c020a28fd) + ) + (label "B" (at 152.4 147.32 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e328b6bd-02a3-43f5-bc4a-bc62031effa6) + ) + (label "Cin" (at 204.47 90.17 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e8d97b27-ec74-4b8f-9991-14d753ce861c) + ) + (label "Cin" (at 25.4 26.67 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f24bef68-7569-4e47-8d87-ac591ad6edd6) + ) + (label "S" (at 143.51 180.34 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f2c0e5f2-878f-4453-a11f-c922eb4ec2a2) + ) + (label "A" (at 26.67 147.32 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f39af9f9-1b1f-4307-89e7-fc77e069c06c) + ) + (label "Cin" (at 177.8 147.32 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fbb3fbd5-fa05-493b-87c6-9891f4c5a7dc) + ) + (label "Cin" (at 53.34 90.17 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fedbf39b-5695-4ed1-b684-6da9c375eb5c) + ) + + (global_label "CK" (shape input) (at 30.48 29.21 270) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3bfe7dca-fce5-42f4-a5b2-017592537341) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "power:VDD") (at 43.18 44.45 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617aef9c) + (property "Reference" "#PWR0101" (id 0) (at 43.18 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 43.561 40.0558 0)) + (property "Footprint" "" (id 2) (at 43.18 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 43.18 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c75d6d51-6f00-4523-b756-d162400914be)) + ) + + (symbol (lib_id "Switch:SW_SPDT") (at 36.83 92.71 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f0571) + (property "Reference" "A1" (id 0) (at 36.83 99.949 0)) + (property "Value" "A" (id 1) (at 36.83 97.6376 0)) + (property "Footprint" "digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm" (id 2) (at 36.83 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 36.83 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d8e04dd8-bca2-4ecc-b088-1dc57a98cdfa)) + (pin "2" (uuid d278021e-6bae-4c01-b0df-5cf43e284483)) + (pin "3" (uuid b64238a0-ae35-415e-877f-8af89535fb1c)) + ) + + (symbol (lib_id "Switch:SW_SPDT") (at 36.83 111.76 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f2061) + (property "Reference" "B1" (id 0) (at 36.83 118.999 0)) + (property "Value" "B" (id 1) (at 36.83 116.6876 0)) + (property "Footprint" "digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm" (id 2) (at 36.83 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 36.83 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3fb26fed-a259-415d-8228-a16b18387d9b)) + (pin "2" (uuid 1dc3cf03-831b-4773-aa75-61c446da0d59)) + (pin "3" (uuid d2ac7cc2-9a34-4524-8586-b71949b70290)) + ) + + (symbol (lib_id "power:VDD") (at 31.75 90.17 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f2abd) + (property "Reference" "#PWR0113" (id 0) (at 31.75 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 32.131 85.7758 0)) + (property "Footprint" "" (id 2) (at 31.75 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 31.75 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 26d1829d-2e5d-4500-846f-6837e2ac2a6c)) + ) + + (symbol (lib_id "power:VDD") (at 31.75 109.22 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f316b) + (property "Reference" "#PWR0114" (id 0) (at 31.75 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 32.131 104.8258 0)) + (property "Footprint" "" (id 2) (at 31.75 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 31.75 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6b54408b-5d53-46c2-a718-15d203881a51)) + ) + + (symbol (lib_id "power:GND") (at 31.75 95.25 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f3747) + (property "Reference" "#PWR0115" (id 0) (at 31.75 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 31.877 99.6442 0)) + (property "Footprint" "" (id 2) (at 31.75 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 31.75 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2bcfc44c-2384-463c-8883-b0d288c8a331)) + ) + + (symbol (lib_id "power:GND") (at 31.75 114.3 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f3b9b) + (property "Reference" "#PWR0116" (id 0) (at 31.75 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 31.877 118.6942 0)) + (property "Footprint" "" (id 2) (at 31.75 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 31.75 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 144f7804-0ff4-463a-bcff-2d4eeafbc677)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 275.59 20.32 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f4eaf) + (property "Reference" "H2" (id 0) (at 278.13 19.0754 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MountingHole_Pad" (id 1) (at 278.13 21.3868 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "adder:AlignmentHole_3.2mm_M3" (id 2) (at 275.59 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 275.59 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e1269ceb-4305-403f-a7a2-f6af33085843)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 256.54 20.32 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f592e) + (property "Reference" "H1" (id 0) (at 259.08 19.0754 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MountingHole_Pad" (id 1) (at 259.08 21.3868 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "adder:AlignmentHole_3.2mm_M3" (id 2) (at 256.54 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 256.54 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8a0493de-fc34-4cdc-98fb-d6162bc7adfd)) + ) + + (symbol (lib_id "Connector:Conn_01x04_Male") (at 20.32 24.13 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f7592) + (property "Reference" "J1" (id 0) (at 23.0632 16.9926 0)) + (property "Value" "Conn_01x04_Male" (id 1) (at 23.0632 19.304 0)) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal" (id 2) (at 20.32 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 20.32 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f296b69e-1c17-480c-9f8c-5c7fd7a55bcd)) + (pin "2" (uuid df1d72cb-7b88-4c9a-b684-73ae3116adbb)) + (pin "3" (uuid 2b34ae4d-e7eb-454e-85c1-197274bbf436)) + (pin "4" (uuid e4624ab6-d40e-43de-8708-aa248844965c)) + ) + + (symbol (lib_id "Connector:Conn_01x04_Female") (at 39.37 24.13 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f873d) + (property "Reference" "J2" (id 0) (at 40.0812 24.7396 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x04_Female" (id 1) (at 40.0812 27.051 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Horizontal" (id 2) (at 39.37 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 39.37 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b2868709-89d0-420c-b0ea-58e0670eca28)) + (pin "2" (uuid 4cdac646-47d4-4250-8a72-51e3ba737547)) + (pin "3" (uuid 99953e15-f16b-4a23-b05b-f8332816625e)) + (pin "4" (uuid abf2fb84-f840-4d6b-a6ba-47f9dce35a9f)) + ) + + (symbol (lib_id "power:GND") (at 266.7 22.86 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617fef2c) + (property "Reference" "#PWR0135" (id 0) (at 266.7 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 266.827 27.2542 0)) + (property "Footprint" "" (id 2) (at 266.7 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 266.7 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 12182fec-b0e9-41e7-aaf1-faf6c9779e83)) + ) + + (symbol (lib_id "power:GND") (at 49.53 158.75 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006180e090) + (property "Reference" "#PWR0102" (id 0) (at 49.53 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 49.657 163.1442 0)) + (property "Footprint" "" (id 2) (at 49.53 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 49.53 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0d97eca0-ef20-42b9-aa48-c86d2e0630d1)) + ) + + (symbol (lib_id "Device:R") (at 41.91 69.85 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061844219) + (property "Reference" "R11" (id 0) (at 43.688 68.6816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 43.688 70.993 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 40.132 69.85 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid daedfd82-c5c3-449a-9eb0-4409b433c51e)) + (pin "2" (uuid ccc131bf-dd86-4663-8b4b-74b302a13390)) + ) + + (symbol (lib_id "Device:R") (at 114.3 69.85 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061844a85) + (property "Reference" "R13" (id 0) (at 116.078 68.6816 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "10k" (id 1) (at 116.078 70.993 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 116.078 69.85 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 114.3 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 593c76c0-9599-4100-ad04-73eab41fc58e)) + (pin "2" (uuid 238c3743-8ffc-4b95-8b13-d348952eefd9)) + ) + + (symbol (lib_id "power:GND") (at 41.91 73.66 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061849548) + (property "Reference" "#PWR0117" (id 0) (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 42.037 78.0542 0)) + (property "Footprint" "" (id 2) (at 41.91 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 41.91 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 25f34274-f018-4d3d-8fb1-2f37ed610c63)) + ) + + (symbol (lib_id "power:GND") (at 114.3 73.66 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061849a5b) + (property "Reference" "#PWR0118" (id 0) (at 114.3 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 114.427 78.0542 0)) + (property "Footprint" "" (id 2) (at 114.3 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 114.3 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 01d4ba09-d5ee-4ebf-b079-4e21188a43d8)) + ) + + (symbol (lib_id "Device:R") (at 41.91 133.35 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006184a110) + (property "Reference" "R12" (id 0) (at 43.688 132.1816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 43.688 134.493 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 40.132 133.35 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 41.91 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 72dcb461-897f-44d1-a3cf-849467811bc3)) + (pin "2" (uuid 3bd0ccf6-6a40-46f6-9427-ec983796165b)) + ) + + (symbol (lib_id "Device:R") (at 115.57 133.35 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006184a7d3) + (property "Reference" "R14" (id 0) (at 117.348 132.1816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 117.348 134.493 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 113.792 133.35 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 115.57 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a8d25c0c-a68d-410b-aa67-befc33f494c1)) + (pin "2" (uuid 7112755c-f439-45de-850c-41c124a11a0d)) + ) + + (symbol (lib_id "power:VDD") (at 41.91 129.54 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061852370) + (property "Reference" "#PWR0119" (id 0) (at 41.91 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 42.291 125.1458 0)) + (property "Footprint" "" (id 2) (at 41.91 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 41.91 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5b6b8268-e585-4096-991c-97cb0bd652c7)) + ) + + (symbol (lib_id "power:VDD") (at 115.57 129.54 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000618528ac) + (property "Reference" "#PWR0120" (id 0) (at 115.57 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 115.951 125.1458 0)) + (property "Footprint" "" (id 2) (at 115.57 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 115.57 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 917f448a-8c70-4c53-a4e8-be5c83ab48a3)) + ) + + (symbol (lib_id "power:VDD") (at 50.8 168.91 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006187b253) + (property "Reference" "#PWR0121" (id 0) (at 46.99 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 54.0512 169.291 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 50.8 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 50.8 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 99551a54-144a-40fd-8e17-da27cdebd6cb)) + ) + + (symbol (lib_id "power:GND") (at 50.8 191.77 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006187b933) + (property "Reference" "#PWR0122" (id 0) (at 57.15 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 54.0512 191.643 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 50.8 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 50.8 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0ce5f20d-3611-4cb4-b91d-c37d153a6502)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x01") (at 148.59 180.34 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006188503e) + (property "Reference" "J3" (id 0) (at 150.622 179.2732 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x01" (id 1) (at 150.622 181.5846 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Connector_PinHeader_1.27mm:PinHeader_1x01_P1.27mm_Vertical" (id 2) (at 148.59 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 148.59 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8ef64d60-35d4-4dbd-a58d-bf0a693d89e6)) + ) + + (symbol (lib_id "Device:R") (at 234.95 58.42 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000618c9560) + (property "Reference" "R15" (id 0) (at 236.728 57.2516 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 236.728 59.563 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 233.172 58.42 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 234.95 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ae3ebd7c-85bd-4aae-ac3c-1b18d57a41b5)) + (pin "2" (uuid f837bdd5-64bb-409a-9066-cf5cd50c0383)) + ) + + (symbol (lib_id "Device:R") (at 234.95 76.2 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000618c9d18) + (property "Reference" "R16" (id 0) (at 236.728 75.0316 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 236.728 77.343 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 233.172 76.2 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 234.95 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3ba02af0-b744-4c46-b2ed-54bcc483053c)) + (pin "2" (uuid 0b5307d3-1f64-421c-a3cd-364f0be36ca6)) + ) + + (symbol (lib_id "power:GND") (at 234.95 54.61 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000618d0aeb) + (property "Reference" "#PWR0123" (id 0) (at 234.95 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 234.823 50.2158 0)) + (property "Footprint" "" (id 2) (at 234.95 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 234.95 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 619103d7-da8a-45d4-9601-ce61953fb995)) + ) + + (symbol (lib_id "power:GND") (at 234.95 72.39 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000618d1036) + (property "Reference" "#PWR0124" (id 0) (at 234.95 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 234.823 67.9958 0)) + (property "Footprint" "" (id 2) (at 234.95 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 234.95 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1b8b581e-44db-42e1-aa5b-399d440b2660)) + ) + + (symbol (lib_id "Device:R") (at 234.95 144.78 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006194ff3b) + (property "Reference" "R18" (id 0) (at 236.728 143.6116 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 236.728 145.923 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 233.172 144.78 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 234.95 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4b47e8ed-1503-4a94-8913-95059065ecd1)) + (pin "2" (uuid f701868e-45b8-46a3-aa65-80fa65c379dd)) + ) + + (symbol (lib_id "Device:R") (at 234.95 127 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061950579) + (property "Reference" "R17" (id 0) (at 236.728 125.8316 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 236.728 128.143 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 233.172 127 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 234.95 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 10487a55-e126-4475-b56c-bbfba007943c)) + (pin "2" (uuid e080e051-f5a2-4770-a11a-8bd0eff1848f)) + ) + + (symbol (lib_id "power:VDD") (at 234.95 130.81 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061950fa6) + (property "Reference" "#PWR0125" (id 0) (at 231.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 238.2012 131.191 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 234.95 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 234.95 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c0b9bccf-9ead-4581-b18f-bbf2bb2a6c76)) + ) + + (symbol (lib_id "power:VDD") (at 234.95 148.59 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061951b0c) + (property "Reference" "#PWR0126" (id 0) (at 231.14 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 238.2012 148.971 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 234.95 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 234.95 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c4d7c8d4-7a24-44ab-a1fa-94547f73b1da)) + ) + + (symbol (lib_id "power:VDD") (at 118.11 168.91 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006196c143) + (property "Reference" "#PWR0127" (id 0) (at 114.3 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 121.3612 169.291 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 118.11 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 118.11 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 16a6c1c5-2365-4e0e-834c-f437bf0f5c3e)) + ) + + (symbol (lib_id "power:GND") (at 118.11 191.77 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006196c149) + (property "Reference" "#PWR0128" (id 0) (at 124.46 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 121.3612 191.643 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 118.11 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 118.11 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d7c09140-d54a-4e09-9e2c-a493d3fad69b)) + ) + + (sheet (at 38.1 166.37) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617ea119) + (property "Sheet name" "sheet617EA114" (id 0) (at 38.1 165.6584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 38.1 178.3846 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 50.8 168.91 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 731072f4-2e25-4b72-bd5f-bcbcb7e09918) + ) + (pin "drain" output (at 50.8 175.26 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e676ac7d-8db6-406e-ad7e-291650bba8a8) + ) + (pin "gate" input (at 38.1 171.45 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8402767e-7018-4b02-85bf-5e16e021ce7b) + ) + ) + + (sheet (at 127 50.8) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617eb758) + (property "Sheet name" "sheet617EB753" (id 0) (at 127 50.0884 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 127 62.8146 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 139.7 53.34 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 62ebd5f5-6332-48bf-bc3c-33ac6f09f884) + ) + (pin "drain" output (at 139.7 59.69 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c351b8e9-ee36-4769-8fab-ad031021a20c) + ) + (pin "gate" input (at 127 55.88 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b801a815-b113-4f2f-ac5f-3f304fcc6ef3) + ) + ) + + (sheet (at 152.4 50.8) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617ecbc3) + (property "Sheet name" "sheet617ECBBE" (id 0) (at 152.4 50.0884 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 152.4 62.8146 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 165.1 53.34 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ada85c49-bb1d-408c-b032-15239b725201) + ) + (pin "drain" output (at 165.1 59.69 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8bc9bef8-8c53-4911-a4b8-f2f5077005dd) + ) + (pin "gate" input (at 152.4 55.88 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3687a041-90c8-41e3-bb0e-1b9f8c9c150c) + ) + ) + + (sheet (at 177.8 50.8) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617edff6) + (property "Sheet name" "sheet617EDFF1" (id 0) (at 177.8 50.0884 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 177.8 62.8146 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 190.5 53.34 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 49fa053b-f1f3-4bf4-b03a-40bd3390bcab) + ) + (pin "drain" output (at 190.5 59.69 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f68d7070-9994-4f99-9ed0-c51f126e456b) + ) + (pin "gate" input (at 177.8 55.88 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9cdc9d22-3659-4057-a62b-151affcbe630) + ) + ) + + (sheet (at 152.4 85.09) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617ef7a1) + (property "Sheet name" "sheet617EF79C" (id 0) (at 152.4 84.3784 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 152.4 97.1046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 165.1 87.63 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1d8a0817-32ef-42fd-bb72-5397fe8c37db) + ) + (pin "drain" output (at 165.1 93.98 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0302a52c-04fa-4d29-aa79-d5f456c237dc) + ) + (pin "gate" input (at 152.4 90.17 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4774b356-0f33-429d-9cac-64df879d3182) + ) + ) + + (sheet (at 152.4 68.58) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f0bfe) + (property "Sheet name" "sheet617F0BFA" (id 0) (at 152.4 67.8684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 152.4 80.5946 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 165.1 71.12 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e63f54fb-18a8-44a1-8100-fc89d8383268) + ) + (pin "out" output (at 165.1 77.47 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7b1104fd-1f66-4130-a6e5-2e9c17cc1045) + ) + ) + + (sheet (at 26.67 50.8) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f1e57) + (property "Sheet name" "Sheet617F1E56" (id 0) (at 26.67 50.0884 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 26.67 62.8146 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 39.37 53.34 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3d630c15-5378-41b7-a4ab-76dad680e2dd) + ) + (pin "drain" output (at 39.37 59.69 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 77c97e0b-a038-451a-8472-7501e7f37fe0) + ) + (pin "gate" input (at 26.67 55.88 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 520fad73-4230-4ace-8c46-21fbb2dc5919) + ) + ) + + (sheet (at 152.4 104.14) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f2465) + (property "Sheet name" "sheet617F2460" (id 0) (at 152.4 103.4284 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 152.4 117.4246 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 165.1 114.3 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1b5faec4-af00-435b-b629-ccf6a992f200) + ) + (pin "drain" output (at 165.1 106.68 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bf451d23-3628-4256-b1e7-6b664c798258) + ) + (pin "gate" input (at 152.4 110.49 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9996d355-218b-4cb8-81f4-1aae10a25cab) + ) + ) + + (sheet (at 177.8 140.97) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f3f28) + (property "Sheet name" "sheet617F3F23" (id 0) (at 177.8 140.2584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 177.8 154.2546 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 190.5 151.13 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 867b190a-e126-4341-8701-82f8b48ee892) + ) + (pin "drain" output (at 190.5 143.51 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5c5b1160-2970-47b5-8057-2dbe76a33fd9) + ) + (pin "gate" input (at 177.8 147.32 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bb4d62db-6801-4086-bbf8-5e8a6af8051c) + ) + ) + + (sheet (at 53.34 50.8) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f496c) + (property "Sheet name" "Sheet617F496B" (id 0) (at 53.34 50.0884 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 53.34 62.8146 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 66.04 53.34 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2105cbac-c8cb-46b8-95bd-0676534358a2) + ) + (pin "drain" output (at 66.04 59.69 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3e97c1b1-7a9b-44b5-a03f-efcc80558f6c) + ) + (pin "gate" input (at 53.34 55.88 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b3fcbcc7-1748-4b25-be23-c434382c7124) + ) + ) + + (sheet (at 87.63 50.8) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f49c9) + (property "Sheet name" "Sheet617F49C8" (id 0) (at 87.63 50.0884 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 87.63 62.8146 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 100.33 53.34 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6b2dec63-80b2-4470-9f43-fd7f546d060a) + ) + (pin "drain" output (at 100.33 59.69 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9934329c-a907-40e0-9d93-13c9b62bca08) + ) + (pin "gate" input (at 87.63 55.88 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9550ceeb-0ac4-4384-9cf3-6e8464dba31c) + ) + ) + + (sheet (at 152.4 140.97) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f542f) + (property "Sheet name" "sheet617F542A" (id 0) (at 152.4 140.2584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 152.4 154.2546 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 165.1 151.13 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 82a1c6fd-d7d8-4c7c-8e51-92af819a2200) + ) + (pin "drain" output (at 165.1 143.51 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8323f954-63fb-4c9d-9719-ee3e7dd0acc4) + ) + (pin "gate" input (at 152.4 147.32 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5bd87bc3-b500-4c13-9bce-a2fdccd6def3) + ) + ) + + (sheet (at 127 140.97) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f68da) + (property "Sheet name" "sheet617F68D5" (id 0) (at 127 140.2584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 127 154.2546 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 139.7 151.13 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c5798ed7-4ce8-4436-8cdc-0f293a4a346a) + ) + (pin "drain" output (at 139.7 143.51 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c6032206-670b-4cb3-9ede-9c54679fb19f) + ) + (pin "gate" input (at 127 147.32 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d78d3f03-7f28-4ca0-a54a-8fd14468a401) + ) + ) + + (sheet (at 53.34 68.58) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f77f8) + (property "Sheet name" "Sheet617F77F7" (id 0) (at 53.34 67.8684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 53.34 80.5946 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 53.34 71.12 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7291227b-d267-482c-a4ea-b2047794fb23) + ) + (pin "out" output (at 66.04 77.47 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 59ff967e-9ad9-485a-b607-b397a65596ac) + ) + ) + + (sheet (at 87.63 68.58) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617f9d75) + (property "Sheet name" "Sheet617F9D74" (id 0) (at 87.63 67.8684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 87.63 80.5946 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 100.33 71.12 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c1ee361d-de32-4df7-a3a5-9c1e65d69941) + ) + (pin "out" output (at 100.33 77.47 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 435c2de4-132c-41e9-a52c-66b0498e3ada) + ) + ) + + (sheet (at 53.34 85.09) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617fa69e) + (property "Sheet name" "Sheet617FA69D" (id 0) (at 53.34 84.3784 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 53.34 97.1046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 66.04 87.63 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1d19e59c-2dbf-41af-897f-1770e4052e49) + ) + (pin "drain" output (at 66.04 93.98 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6a458124-f591-4ca8-9541-22f78bcb10d7) + ) + (pin "gate" input (at 53.34 90.17 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ae91d90a-04ae-46fe-824f-630e23c55c6c) + ) + ) + + (sheet (at 87.63 85.09) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617fa71e) + (property "Sheet name" "Sheet617FA71D" (id 0) (at 87.63 84.3784 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 87.63 97.1046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 100.33 87.63 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7dfdf7ae-5c38-482e-9bb9-2282c315c13d) + ) + (pin "drain" output (at 100.33 93.98 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0b052d46-d62e-49b5-996b-0399f3c7d778) + ) + (pin "gate" input (at 87.63 90.17 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1841463a-4e24-46cb-8e08-fb376eaf1697) + ) + ) + + (sheet (at 118.11 93.98) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617fb4ec) + (property "Sheet name" "Sheet617FB4EB" (id 0) (at 118.11 93.2684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 118.11 105.9946 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 118.11 100.33 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 10b5b863-2a3f-45ed-a675-33438a3b251a) + ) + (pin "out" output (at 130.81 100.33 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f2157ddb-6672-4168-9642-84631d2e51e5) + ) + ) + + (sheet (at 53.34 104.14) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000617fd278) + (property "Sheet name" "Sheet617FD277" (id 0) (at 53.34 103.4284 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 53.34 117.4246 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 66.04 114.3 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5cf6d4e9-c3b7-4269-bae2-025a916e96ad) + ) + (pin "drain" output (at 66.04 106.68 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 72966d8b-c0d0-462b-ae0e-cdf59770b5dd) + ) + (pin "gate" input (at 53.34 110.49 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 44d55ce2-ed12-47ea-91cb-ccc23b2529b0) + ) + ) + + (sheet (at 87.63 104.14) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-000061801128) + (property "Sheet name" "sheet61801123" (id 0) (at 87.63 103.4284 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 87.63 117.4246 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 100.33 114.3 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 434290db-ff64-4f7e-ada3-58a7de937521) + ) + (pin "drain" output (at 100.33 106.68 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 13c29e80-863a-4282-a794-9e432add1abd) + ) + (pin "gate" input (at 87.63 110.49 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5904d9cb-c3c1-41bc-ba36-34632998ce3c) + ) + ) + + (sheet (at 26.67 140.97) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006180167a) + (property "Sheet name" "sheet61801675" (id 0) (at 26.67 140.2584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 26.67 154.2546 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 39.37 151.13 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0356800d-cc38-45a4-b325-09daf422e0f6) + ) + (pin "drain" output (at 39.37 143.51 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3ca3ec30-58e7-4029-9c88-d165a3d4da38) + ) + (pin "gate" input (at 26.67 147.32 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ce6ccf59-3059-4d76-83bd-0dc06c5af8a7) + ) + ) + + (sheet (at 53.34 140.97) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-000061801b8b) + (property "Sheet name" "sheet61801B86" (id 0) (at 53.34 140.2584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 53.34 154.2546 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 66.04 151.13 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 87c5cce1-8dec-416f-9c8d-d8d368baae7b) + ) + (pin "drain" output (at 66.04 143.51 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5d34e6dc-6981-4441-90c4-07a07ccf07b8) + ) + (pin "gate" input (at 53.34 147.32 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1f755dbd-0933-4849-a3de-3197e30b961e) + ) + ) + + (sheet (at 87.63 140.97) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000618020cc) + (property "Sheet name" "sheet618020C7" (id 0) (at 87.63 140.2584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 87.63 154.2546 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 100.33 151.13 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8d7083e9-73ba-4d9e-99d1-3104e17738d8) + ) + (pin "drain" output (at 100.33 143.51 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2f136bf6-d6ad-4f64-a5f0-a0a868760ce9) + ) + (pin "gate" input (at 87.63 147.32 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f8f134b5-6108-405c-8132-acd8be33f077) + ) + ) + + (sheet (at 53.34 121.92) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000618026b5) + (property "Sheet name" "sheet618026B1" (id 0) (at 53.34 121.2084 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 53.34 133.9346 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 53.34 128.27 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e3d36b59-d030-4475-9820-1858050f22b4) + ) + (pin "out" output (at 66.04 128.27 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9c0f9afd-6cf4-4797-be4b-1d52112e7d9e) + ) + ) + + (sheet (at 87.63 121.92) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-000061802baa) + (property "Sheet name" "sheet61802BA6" (id 0) (at 87.63 121.2084 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 87.63 133.9346 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 100.33 130.81 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e898b141-6177-4d63-8abb-07d3fa1e0087) + ) + (pin "out" output (at 100.33 124.46 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fc8f7d58-e09d-44ca-868c-1dde02d8bcf4) + ) + ) + + (sheet (at 38.1 181.61) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006180e2ae) + (property "Sheet name" "sheet6180E2A9" (id 0) (at 38.1 180.8984 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 38.1 194.8946 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 50.8 191.77 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f334fdbe-327e-44dc-9ebc-4bd51e297e6a) + ) + (pin "drain" output (at 50.8 184.15 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e7b36cf6-85dc-463f-ac40-a39a8b13ca65) + ) + (pin "gate" input (at 38.1 187.96 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 304a5c00-1919-4d60-9468-2eda1a0af7ec) + ) + ) + + (sheet (at 62.23 173.99) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006180f852) + (property "Sheet name" "sheet6180F84E" (id 0) (at 62.23 173.2784 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 62.23 186.0046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 62.23 180.34 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 578a1de2-3d5e-4edd-aa69-67c803c269a3) + ) + (pin "out" output (at 74.93 180.34 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9815fe92-46a6-455e-99c0-0fd424de85e2) + ) + ) + + (sheet (at 152.4 121.92) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-000061827e85) + (property "Sheet name" "sheet61827E81" (id 0) (at 152.4 121.2084 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 152.4 133.9346 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 152.4 128.27 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 00019a17-d5f9-48ab-a6c9-3b8d06dc6f7a) + ) + (pin "out" output (at 165.1 128.27 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 25d5ac29-ad76-409b-a9e3-7dff3ffd6ad7) + ) + ) + + (sheet (at 204.47 50.8) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006188a626) + (property "Sheet name" "sheet6188A621" (id 0) (at 204.47 50.0884 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 204.47 62.8146 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 217.17 53.34 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c8d6bdc7-d224-4d11-898e-80ecc9f1ca78) + ) + (pin "drain" output (at 217.17 59.69 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 76dc62f1-30bc-463d-a613-89687e1b338e) + ) + (pin "gate" input (at 204.47 55.88 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 00151fbe-bc57-4704-8879-709c49556d93) + ) + ) + + (sheet (at 204.47 68.58) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006188f5bd) + (property "Sheet name" "sheet6188F5B8" (id 0) (at 204.47 67.8684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 204.47 80.5946 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 217.17 71.12 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c7d75954-c3e9-42ac-a5fe-12611eb0a22f) + ) + (pin "drain" output (at 217.17 77.47 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 86516edb-c39d-4d25-926e-9bc46811ee41) + ) + (pin "gate" input (at 204.47 73.66 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8b06e813-1cdb-4f71-b3e2-11e6c3867572) + ) + ) + + (sheet (at 204.47 85.09) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006189461a) + (property "Sheet name" "sheet61894610" (id 0) (at 204.47 84.3784 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 204.47 97.1046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 217.17 87.63 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c9fd5cc7-7a66-49be-bc88-74649d693e47) + ) + (pin "drain" output (at 217.17 93.98 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b93c61f8-e2eb-4ee8-9e73-47db32c81561) + ) + (pin "gate" input (at 204.47 90.17 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid aca58393-d09b-4935-b24e-d547ffdd49a2) + ) + ) + + (sheet (at 250.19 59.69) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006189e1b8) + (property "Sheet name" "sheet6189E1B4" (id 0) (at 250.19 58.9784 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 250.19 71.7046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 250.19 62.23 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e1085167-afae-4b8f-a8ab-39750c6520a0) + ) + (pin "out" output (at 250.19 68.58 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2f8bcd2f-38d1-4054-9005-a292bd075d9a) + ) + ) + + (sheet (at 250.19 77.47) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000618ad774) + (property "Sheet name" "sheet618AD770" (id 0) (at 250.19 76.7584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 250.19 89.4846 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 250.19 80.01 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bd396382-e0d8-4d8f-867e-28b74cd0a4fc) + ) + (pin "out" output (at 250.19 86.36 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fab093c8-6b0a-4415-afa4-1a237ab4ed2a) + ) + ) + + (sheet (at 231.14 93.98) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000618bdd8a) + (property "Sheet name" "sheet618BDD86" (id 0) (at 231.14 93.2684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 231.14 105.9946 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 231.14 100.33 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b1d9eca8-739e-4c54-aff8-1b74939d5fc1) + ) + (pin "out" output (at 243.84 100.33 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e13a3aad-85a5-4531-ab72-ea80b1a8dcb1) + ) + ) + + (sheet (at 204.47 104.14) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-0000618f6378) + (property "Sheet name" "sheet618F6373" (id 0) (at 204.47 103.4284 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 204.47 117.4246 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 217.17 114.3 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f2d79088-1140-4442-8909-c01e92da66ea) + ) + (pin "drain" output (at 217.17 106.68 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0ad22290-3caf-4954-8d1c-7694b14a7d6a) + ) + (pin "gate" input (at 204.47 110.49 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c9350060-1922-4b1b-a1ab-9c5e0b151131) + ) + ) + + (sheet (at 204.47 121.92) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-000061902300) + (property "Sheet name" "sheet619022FA" (id 0) (at 204.47 121.2084 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 204.47 135.2046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 217.17 132.08 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1f222568-1930-48ca-8947-53beb17e2ef3) + ) + (pin "drain" output (at 217.17 124.46 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0b1cb49b-32f4-4818-9669-925c3dd5a923) + ) + (pin "gate" input (at 204.47 128.27 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fdd5eaee-65db-4150-9e38-11fb7f4aa2ed) + ) + ) + + (sheet (at 204.47 140.97) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-000061902305) + (property "Sheet name" "sheet619022FB" (id 0) (at 204.47 140.2584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 204.47 154.2546 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 217.17 151.13 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7eafb636-ba9f-42e3-b756-bf2e2dd4a186) + ) + (pin "drain" output (at 217.17 143.51 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 03cd48ce-04af-4d6c-885a-5a5d4536caf0) + ) + (pin "gate" input (at 204.47 147.32 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3d68f7c6-79ce-4592-9700-5e609b8eeda1) + ) + ) + + (sheet (at 250.19 114.3) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006191b1a4) + (property "Sheet name" "sheet6191B1A0" (id 0) (at 250.19 113.5884 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 250.19 126.3146 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 250.19 116.84 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 760cab77-7b24-47df-b96a-d15161e00d7d) + ) + (pin "out" output (at 250.19 123.19 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bc83cfb7-9a6d-4051-8c23-c8a151a65dd0) + ) + ) + + (sheet (at 250.19 132.08) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-000061921364) + (property "Sheet name" "sheet61921360" (id 0) (at 250.19 131.3684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 250.19 144.0946 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 250.19 134.62 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0a0e6647-0f3f-46ac-a664-ac2754ac6fd0) + ) + (pin "out" output (at 250.19 140.97 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 78ef4735-79b8-4ea1-820e-9142f06b1d73) + ) + ) + + (sheet (at 105.41 166.37) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006196c125) + (property "Sheet name" "sheet6196C11E" (id 0) (at 105.41 165.6584 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "pmos.kicad_sch" (id 1) (at 105.41 178.3846 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 118.11 168.91 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4b513db5-5ffe-4693-8ea5-7519779ddec0) + ) + (pin "drain" output (at 118.11 175.26 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 97618b92-ce6e-421d-8dd7-19e5f3b5c010) + ) + (pin "gate" input (at 105.41 171.45 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9ec04826-2278-4058-868f-42bd5b6eb263) + ) + ) + + (sheet (at 105.41 181.61) (size 12.7 12.7) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006196c12a) + (property "Sheet name" "sheet6196C11F" (id 0) (at 105.41 180.8984 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "nmos.kicad_sch" (id 1) (at 105.41 194.8946 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "source" input (at 118.11 191.77 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ceaec699-05c6-4948-bbc0-bd254c7fb43c) + ) + (pin "drain" output (at 118.11 184.15 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3598e042-fb45-4e76-9eae-18bf4348d689) + ) + (pin "gate" input (at 105.41 187.96 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ae5a5022-c00b-4b86-b872-c61b507846dc) + ) + ) + + (sheet (at 129.54 173.99) (size 12.7 11.43) (fields_autoplaced) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00006196c12e) + (property "Sheet name" "sheet6196C120" (id 0) (at 129.54 173.2784 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "buffer.kicad_sch" (id 1) (at 129.54 186.0046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "in" input (at 129.54 180.34 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bf773b05-24aa-4ee5-b61c-1896608a208b) + ) + (pin "out" output (at 142.24 180.34 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2ba575e6-60c9-4a06-a2f0-e76a8a337de8) + ) + ) + + (sheet_instances + (path "/" (page "1")) + (path "/00000000-0000-0000-0000-0000617f1e57" (page "2")) + (path "/00000000-0000-0000-0000-0000617f496c" (page "3")) + (path "/00000000-0000-0000-0000-0000617f49c9" (page "4")) + (path "/00000000-0000-0000-0000-0000617f77f8" (page "5")) + (path "/00000000-0000-0000-0000-0000617f9d75" (page "6")) + (path "/00000000-0000-0000-0000-0000617fa69e" (page "7")) + (path "/00000000-0000-0000-0000-0000617fa71e" (page "8")) + (path "/00000000-0000-0000-0000-0000617fb4ec" (page "9")) + (path "/00000000-0000-0000-0000-0000617fd278" (page "10")) + (path "/00000000-0000-0000-0000-0000617ea119" (page "11")) + (path "/00000000-0000-0000-0000-0000617eb758" (page "12")) + (path "/00000000-0000-0000-0000-0000617ecbc3" (page "13")) + (path "/00000000-0000-0000-0000-0000617edff6" (page "14")) + (path "/00000000-0000-0000-0000-0000617ef7a1" (page "15")) + (path "/00000000-0000-0000-0000-0000617f0bfe" (page "16")) + (path "/00000000-0000-0000-0000-0000617f2465" (page "17")) + (path "/00000000-0000-0000-0000-0000617f3f28" (page "18")) + (path "/00000000-0000-0000-0000-0000617f542f" (page "19")) + (path "/00000000-0000-0000-0000-0000617f68da" (page "20")) + (path "/00000000-0000-0000-0000-000061801128" (page "21")) + (path "/00000000-0000-0000-0000-00006180167a" (page "22")) + (path "/00000000-0000-0000-0000-000061801b8b" (page "23")) + (path "/00000000-0000-0000-0000-0000618020cc" (page "24")) + (path "/00000000-0000-0000-0000-0000618026b5" (page "25")) + (path "/00000000-0000-0000-0000-000061802baa" (page "26")) + (path "/00000000-0000-0000-0000-00006180e2ae" (page "27")) + (path "/00000000-0000-0000-0000-00006180f852" (page "28")) + (path "/00000000-0000-0000-0000-000061827e85" (page "29")) + (path "/00000000-0000-0000-0000-00006188a626" (page "30")) + (path "/00000000-0000-0000-0000-00006188f5bd" (page "31")) + (path "/00000000-0000-0000-0000-00006189461a" (page "32")) + (path "/00000000-0000-0000-0000-00006189e1b8" (page "33")) + (path "/00000000-0000-0000-0000-0000618ad774" (page "34")) + (path "/00000000-0000-0000-0000-0000618bdd8a" (page "35")) + (path "/00000000-0000-0000-0000-0000618f6378" (page "36")) + (path "/00000000-0000-0000-0000-000061902300" (page "37")) + (path "/00000000-0000-0000-0000-000061902305" (page "38")) + (path "/00000000-0000-0000-0000-00006191b1a4" (page "39")) + (path "/00000000-0000-0000-0000-000061921364" (page "40")) + (path "/00000000-0000-0000-0000-00006196c125" (page "41")) + (path "/00000000-0000-0000-0000-00006196c12a" (page "42")) + (path "/00000000-0000-0000-0000-00006196c12e" (page "43")) + ) + + (symbol_instances + (path "/00000000-0000-0000-0000-0000617aef9c" + (reference "#PWR0101") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006180e090" + (reference "#PWR0102") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f77f8/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0103") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f77f8/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0104") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f9d75/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0105") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f9d75/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0106") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617fb4ec/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0107") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617fb4ec/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0108") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000618026b5/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0109") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000618026b5/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0110") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061802baa/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0111") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061802baa/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0112") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f2abd" + (reference "#PWR0113") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f316b" + (reference "#PWR0114") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f3747" + (reference "#PWR0115") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f3b9b" + (reference "#PWR0116") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061849548" + (reference "#PWR0117") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061849a5b" + (reference "#PWR0118") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061852370" + (reference "#PWR0119") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000618528ac" + (reference "#PWR0120") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006187b253" + (reference "#PWR0121") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006187b933" + (reference "#PWR0122") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000618d0aeb" + (reference "#PWR0123") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000618d1036" + (reference "#PWR0124") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061950fa6" + (reference "#PWR0125") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061951b0c" + (reference "#PWR0126") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006196c143" + (reference "#PWR0127") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006196c149" + (reference "#PWR0128") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f0bfe/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0129") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f0bfe/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0130") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006180f852/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0131") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006180f852/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0132") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061827e85/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0133") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061827e85/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0134") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617fef2c" + (reference "#PWR0135") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006189e1b8/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0137") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006189e1b8/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0138") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000618ad774/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0139") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000618ad774/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0140") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000618bdd8a/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0141") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000618bdd8a/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0142") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006191b1a4/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0143") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006191b1a4/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0144") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061921364/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0145") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061921364/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0146") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006196c12e/00000000-0000-0000-0000-0000617f83e9" + (reference "#PWR0147") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006196c12e/00000000-0000-0000-0000-0000617f880c" + (reference "#PWR0148") (unit 1) (value "VDD") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000617f0571" + (reference "A1") (unit 1) (value "A") (footprint "digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm") + ) + (path "/00000000-0000-0000-0000-0000617f2061" + (reference "B1") (unit 1) (value "B") (footprint "digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm") + ) + (path "/00000000-0000-0000-0000-0000617f1e57/00000000-0000-0000-0000-0000617f3a70" + (reference "D1") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f496c/00000000-0000-0000-0000-0000617f3a70" + (reference "D2") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f49c9/00000000-0000-0000-0000-0000617f3a70" + (reference "D3") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617fa69e/00000000-0000-0000-0000-0000617f3a70" + (reference "D4") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617fa71e/00000000-0000-0000-0000-0000617f3a70" + (reference "D5") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617fd278/00000000-0000-0000-0000-0000617ff64c" + (reference "D6") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061801128/00000000-0000-0000-0000-0000617ff64c" + (reference "D7") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006180167a/00000000-0000-0000-0000-0000617ff64c" + (reference "D8") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061801b8b/00000000-0000-0000-0000-0000617ff64c" + (reference "D9") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000618020cc/00000000-0000-0000-0000-0000617ff64c" + (reference "D10") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617ea119/00000000-0000-0000-0000-0000617f3a70" + (reference "D11") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617eb758/00000000-0000-0000-0000-0000617f3a70" + (reference "D12") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617ecbc3/00000000-0000-0000-0000-0000617f3a70" + (reference "D13") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617edff6/00000000-0000-0000-0000-0000617f3a70" + (reference "D14") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617ef7a1/00000000-0000-0000-0000-0000617f3a70" + (reference "D15") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f2465/00000000-0000-0000-0000-0000617ff64c" + (reference "D16") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f3f28/00000000-0000-0000-0000-0000617ff64c" + (reference "D17") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f542f/00000000-0000-0000-0000-0000617ff64c" + (reference "D18") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f68da/00000000-0000-0000-0000-0000617ff64c" + (reference "D19") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006180e2ae/00000000-0000-0000-0000-0000617ff64c" + (reference "D20") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006188a626/00000000-0000-0000-0000-0000617f3a70" + (reference "D21") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006188f5bd/00000000-0000-0000-0000-0000617f3a70" + (reference "D22") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006189461a/00000000-0000-0000-0000-0000617f3a70" + (reference "D23") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000618f6378/00000000-0000-0000-0000-0000617ff64c" + (reference "D24") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061902300/00000000-0000-0000-0000-0000617ff64c" + (reference "D25") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061902305/00000000-0000-0000-0000-0000617ff64c" + (reference "D26") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006196c125/00000000-0000-0000-0000-0000617f3a70" + (reference "D27") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006196c12a/00000000-0000-0000-0000-0000617ff64c" + (reference "D28") (unit 1) (value "L") (footprint "LED_SMD:LED_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f592e" + (reference "H1") (unit 1) (value "MountingHole_Pad") (footprint "adder:AlignmentHole_3.2mm_M3") + ) + (path "/00000000-0000-0000-0000-0000617f4eaf" + (reference "H2") (unit 1) (value "MountingHole_Pad") (footprint "adder:AlignmentHole_3.2mm_M3") + ) + (path "/00000000-0000-0000-0000-0000617f7592" + (reference "J1") (unit 1) (value "Conn_01x04_Male") (footprint "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal") + ) + (path "/00000000-0000-0000-0000-0000617f873d" + (reference "J2") (unit 1) (value "Conn_01x04_Female") (footprint "Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Horizontal") + ) + (path "/00000000-0000-0000-0000-00006188503e" + (reference "J3") (unit 1) (value "Conn_01x01") (footprint "Connector_PinHeader_1.27mm:PinHeader_1x01_P1.27mm_Vertical") + ) + (path "/00000000-0000-0000-0000-0000617f1e57/00000000-0000-0000-0000-00006181bdcd" + (reference "Q1") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617f496c/00000000-0000-0000-0000-00006181bdcd" + (reference "Q2") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617f49c9/00000000-0000-0000-0000-00006181bdcd" + (reference "Q3") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617fa69e/00000000-0000-0000-0000-00006181bdcd" + (reference "Q4") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617fa71e/00000000-0000-0000-0000-00006181bdcd" + (reference "Q5") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617fd278/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q6") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-000061801128/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q7") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-00006180167a/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q8") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-000061801b8b/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q9") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000618020cc/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q10") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617ea119/00000000-0000-0000-0000-00006181bdcd" + (reference "Q11") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617eb758/00000000-0000-0000-0000-00006181bdcd" + (reference "Q12") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617ecbc3/00000000-0000-0000-0000-00006181bdcd" + (reference "Q13") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617edff6/00000000-0000-0000-0000-00006181bdcd" + (reference "Q14") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617ef7a1/00000000-0000-0000-0000-00006181bdcd" + (reference "Q15") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617f2465/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q16") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617f3f28/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q17") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617f542f/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q18") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617f68da/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q19") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-00006180e2ae/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q20") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-00006188a626/00000000-0000-0000-0000-00006181bdcd" + (reference "Q21") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-00006188f5bd/00000000-0000-0000-0000-00006181bdcd" + (reference "Q22") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-00006189461a/00000000-0000-0000-0000-00006181bdcd" + (reference "Q23") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000618f6378/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q24") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-000061902300/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q25") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-000061902305/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q26") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-00006196c125/00000000-0000-0000-0000-00006181bdcd" + (reference "Q27") (unit 1) (value "P") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-00006196c12a/00000000-0000-0000-0000-0000617fd6ec" + (reference "Q28") (unit 1) (value "N") (footprint "Package_TO_SOT_SMD:SOT-523") + ) + (path "/00000000-0000-0000-0000-0000617f1e57/00000000-0000-0000-0000-0000617f3a76" + (reference "R1") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f496c/00000000-0000-0000-0000-0000617f3a76" + (reference "R2") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f49c9/00000000-0000-0000-0000-0000617f3a76" + (reference "R3") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617fa69e/00000000-0000-0000-0000-0000617f3a76" + (reference "R4") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617fa71e/00000000-0000-0000-0000-0000617f3a76" + (reference "R5") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617fd278/00000000-0000-0000-0000-0000618000c0" + (reference "R6") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061801128/00000000-0000-0000-0000-0000618000c0" + (reference "R7") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006180167a/00000000-0000-0000-0000-0000618000c0" + (reference "R8") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061801b8b/00000000-0000-0000-0000-0000618000c0" + (reference "R9") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000618020cc/00000000-0000-0000-0000-0000618000c0" + (reference "R10") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061844219" + (reference "R11") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006184a110" + (reference "R12") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061844a85" + (reference "R13") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006184a7d3" + (reference "R14") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000618c9560" + (reference "R15") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000618c9d18" + (reference "R16") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061950579" + (reference "R17") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006194ff3b" + (reference "R18") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617ea119/00000000-0000-0000-0000-0000617f3a76" + (reference "R19") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617eb758/00000000-0000-0000-0000-0000617f3a76" + (reference "R20") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617ecbc3/00000000-0000-0000-0000-0000617f3a76" + (reference "R21") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617edff6/00000000-0000-0000-0000-0000617f3a76" + (reference "R22") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617ef7a1/00000000-0000-0000-0000-0000617f3a76" + (reference "R23") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f2465/00000000-0000-0000-0000-0000618000c0" + (reference "R24") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f3f28/00000000-0000-0000-0000-0000618000c0" + (reference "R25") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f542f/00000000-0000-0000-0000-0000618000c0" + (reference "R26") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f68da/00000000-0000-0000-0000-0000618000c0" + (reference "R27") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006180e2ae/00000000-0000-0000-0000-0000618000c0" + (reference "R28") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006188a626/00000000-0000-0000-0000-0000617f3a76" + (reference "R29") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006188f5bd/00000000-0000-0000-0000-0000617f3a76" + (reference "R30") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006189461a/00000000-0000-0000-0000-0000617f3a76" + (reference "R31") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000618f6378/00000000-0000-0000-0000-0000618000c0" + (reference "R32") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061902300/00000000-0000-0000-0000-0000618000c0" + (reference "R33") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-000061902305/00000000-0000-0000-0000-0000618000c0" + (reference "R34") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006196c125/00000000-0000-0000-0000-0000617f3a76" + (reference "R35") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-00006196c12a/00000000-0000-0000-0000-0000618000c0" + (reference "R36") (unit 1) (value "68") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/00000000-0000-0000-0000-0000617f77f8/00000000-0000-0000-0000-0000617f83e0" + (reference "U1") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-0000617f9d75/00000000-0000-0000-0000-0000617f83e0" + (reference "U2") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-0000617fb4ec/00000000-0000-0000-0000-0000617f83e0" + (reference "U3") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-0000618026b5/00000000-0000-0000-0000-0000617f83e0" + (reference "U4") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-000061802baa/00000000-0000-0000-0000-0000617f83e0" + (reference "U5") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-0000617f0bfe/00000000-0000-0000-0000-0000617f83e0" + (reference "U6") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-00006180f852/00000000-0000-0000-0000-0000617f83e0" + (reference "U7") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-000061827e85/00000000-0000-0000-0000-0000617f83e0" + (reference "U8") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-00006189e1b8/00000000-0000-0000-0000-0000617f83e0" + (reference "U10") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-0000618ad774/00000000-0000-0000-0000-0000617f83e0" + (reference "U11") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-0000618bdd8a/00000000-0000-0000-0000-0000617f83e0" + (reference "U12") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-00006191b1a4/00000000-0000-0000-0000-0000617f83e0" + (reference "U13") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-000061921364/00000000-0000-0000-0000-0000617f83e0" + (reference "U14") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-00006196c12e/00000000-0000-0000-0000-0000617f83e0" + (reference "U15") (unit 1) (value "B") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + ) +) diff --git a/adder.pro b/adder.pro deleted file mode 100644 index eba8aa0..0000000 --- a/adder.pro +++ /dev/null @@ -1,239 +0,0 @@ -update=Sun 31 Oct 2021 04:47:34 PM CET -version=1 -last_client=kicad -[general] -version=1 -RootSch= -BoardNm= -[cvpcb] -version=1 -NetIExt=net -[eeschema] -version=1 -LibDir= -[eeschema/libraries] -[pcbnew] -version=1 -PageLayoutDescrFile= -LastNetListRead= -CopperLayerCount=4 -BoardThickness=1.6 -AllowMicroVias=0 -AllowBlindVias=0 -RequireCourtyardDefinitions=0 -ProhibitOverlappingCourtyards=1 -MinTrackWidth=0.2 -MinViaDiameter=0.4 -MinViaDrill=0.3 -MinMicroViaDiameter=0.2 -MinMicroViaDrill=0.09999999999999999 -MinHoleToHole=0.25 -TrackWidth1=0.2 -TrackWidth2=0.5 -ViaDiameter1=0.6 -ViaDrill1=0.3 -dPairWidth1=0.2 -dPairGap1=0.25 -dPairViaGap1=0.25 -SilkLineWidth=0.12 -SilkTextSizeV=1 -SilkTextSizeH=1 -SilkTextSizeThickness=0.15 -SilkTextItalic=0 -SilkTextUpright=1 -CopperLineWidth=0.2 -CopperTextSizeV=1.5 -CopperTextSizeH=1.5 -CopperTextThickness=0.3 -CopperTextItalic=0 -CopperTextUpright=1 -EdgeCutLineWidth=0.05 -CourtyardLineWidth=0.05 -OthersLineWidth=0.15 -OthersTextSizeV=1 -OthersTextSizeH=1 -OthersTextSizeThickness=0.15 -OthersTextItalic=0 -OthersTextUpright=1 -SolderMaskClearance=0 -SolderMaskMinWidth=0 -SolderPasteClearance=0 -SolderPasteRatio=-0 -[pcbnew/Layer.F.Cu] -Name=F.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.In1.Cu] -Name=In1.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.In2.Cu] -Name=In2.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.In3.Cu] -Name=In3.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In4.Cu] -Name=In4.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In5.Cu] -Name=In5.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In6.Cu] -Name=In6.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In7.Cu] -Name=In7.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In8.Cu] -Name=In8.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In9.Cu] -Name=In9.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In10.Cu] -Name=In10.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In11.Cu] -Name=In11.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In12.Cu] -Name=In12.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In13.Cu] -Name=In13.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In14.Cu] -Name=In14.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In15.Cu] -Name=In15.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In16.Cu] -Name=In16.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In17.Cu] -Name=In17.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In18.Cu] -Name=In18.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In19.Cu] -Name=In19.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In20.Cu] -Name=In20.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In21.Cu] -Name=In21.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In22.Cu] -Name=In22.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In23.Cu] -Name=In23.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In24.Cu] -Name=In24.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In25.Cu] -Name=In25.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In26.Cu] -Name=In26.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In27.Cu] -Name=In27.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In28.Cu] -Name=In28.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In29.Cu] -Name=In29.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In30.Cu] -Name=In30.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.B.Cu] -Name=B.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.B.Adhes] -Enabled=1 -[pcbnew/Layer.F.Adhes] -Enabled=1 -[pcbnew/Layer.B.Paste] -Enabled=1 -[pcbnew/Layer.F.Paste] -Enabled=1 -[pcbnew/Layer.B.SilkS] -Enabled=1 -[pcbnew/Layer.F.SilkS] -Enabled=1 -[pcbnew/Layer.B.Mask] -Enabled=1 -[pcbnew/Layer.F.Mask] -Enabled=1 -[pcbnew/Layer.Dwgs.User] -Enabled=1 -[pcbnew/Layer.Cmts.User] -Enabled=1 -[pcbnew/Layer.Eco1.User] -Enabled=1 -[pcbnew/Layer.Eco2.User] -Enabled=1 -[pcbnew/Layer.Edge.Cuts] -Enabled=1 -[pcbnew/Layer.Margin] -Enabled=1 -[pcbnew/Layer.B.CrtYd] -Enabled=1 -[pcbnew/Layer.F.CrtYd] -Enabled=1 -[pcbnew/Layer.B.Fab] -Enabled=1 -[pcbnew/Layer.F.Fab] -Enabled=1 -[pcbnew/Layer.Rescue] -Enabled=0 -[pcbnew/Netclasses] -[pcbnew/Netclasses/Default] -Name=Default -Clearance=0.2 -TrackWidth=0.2 -ViaDiameter=0.6 -ViaDrill=0.3 -uViaDiameter=0.3 -uViaDrill=0.1 -dPairWidth=0.2 -dPairGap=0.25 -dPairViaGap=0.25 diff --git a/adder.sch b/adder.sch deleted file mode 100644 index ededf56..0000000 --- a/adder.sch +++ /dev/null @@ -1,1220 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 1 43 -Title "" -Date "" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -Text Label 1050 2200 2 50 ~ 0 -A -Text Label 2100 2200 2 50 ~ 0 -B -$Comp -L power:VDD #PWR0101 -U 1 1 617AEF9C -P 1700 1750 -F 0 "#PWR0101" H 1700 1600 50 0001 C CNN -F 1 "VDD" H 1715 1923 50 0000 C CNN -F 2 "" H 1700 1750 50 0001 C CNN -F 3 "" H 1700 1750 50 0001 C CNN - 1 1700 1750 - 1 0 0 -1 -$EndComp -Text Label 2100 3550 2 50 ~ 0 -Cin -Text Label 3450 2200 2 50 ~ 0 -A -Text Label 3450 3550 2 50 ~ 0 -B -Wire Wire Line - 4350 2800 3950 2800 -$Sheet -S 1050 2000 500 450 -U 617F1E57 -F0 "Sheet617F1E56" 50 -F1 "pmos.sch" 50 -F2 "source" I R 1550 2100 50 -F3 "drain" O R 1550 2350 50 -F4 "gate" I L 1050 2200 50 -$EndSheet -$Sheet -S 2100 2000 500 450 -U 617F496C -F0 "Sheet617F496B" 50 -F1 "pmos.sch" 50 -F2 "source" I R 2600 2100 50 -F3 "drain" O R 2600 2350 50 -F4 "gate" I L 2100 2200 50 -$EndSheet -$Sheet -S 3450 2000 500 450 -U 617F49C9 -F0 "Sheet617F49C8" 50 -F1 "pmos.sch" 50 -F2 "source" I R 3950 2100 50 -F3 "drain" O R 3950 2350 50 -F4 "gate" I L 3450 2200 50 -$EndSheet -Wire Wire Line - 1700 1750 1700 1800 -Wire Wire Line - 1700 2100 1550 2100 -Wire Wire Line - 1700 1800 2950 1800 -Wire Wire Line - 2950 1800 2950 2100 -Wire Wire Line - 2950 2100 2600 2100 -Connection ~ 1700 1800 -Wire Wire Line - 1700 1800 1700 2100 -Wire Wire Line - 2950 1800 4300 1800 -Wire Wire Line - 4300 1800 4300 2100 -Wire Wire Line - 4300 2100 3950 2100 -Connection ~ 2950 1800 -Wire Wire Line - 3950 2350 4350 2350 -Wire Wire Line - 2600 2350 2700 2350 -Wire Wire Line - 2700 2350 2700 2600 -Wire Wire Line - 2700 2600 2000 2600 -Wire Wire Line - 1650 2600 1650 2350 -Wire Wire Line - 1650 2350 1550 2350 -Wire Wire Line - 2000 2600 2000 2800 -Connection ~ 2000 2600 -Wire Wire Line - 2000 2600 1650 2600 -Wire Wire Line - 2000 2800 2100 2800 -$Sheet -S 2100 2700 500 450 -U 617F77F8 -F0 "Sheet617F77F7" 50 -F1 "buffer.sch" 50 -F2 "in" I L 2100 2800 50 -F3 "out" O R 2600 3050 50 -$EndSheet -Wire Wire Line - 4350 2350 4350 2600 -$Sheet -S 3450 2700 500 450 -U 617F9D75 -F0 "Sheet617F9D74" 50 -F1 "buffer.sch" 50 -F2 "in" I R 3950 2800 50 -F3 "out" O R 3950 3050 50 -$EndSheet -$Sheet -S 2100 3350 500 450 -U 617FA69E -F0 "Sheet617FA69D" 50 -F1 "pmos.sch" 50 -F2 "source" I R 2600 3450 50 -F3 "drain" O R 2600 3700 50 -F4 "gate" I L 2100 3550 50 -$EndSheet -$Sheet -S 3450 3350 500 450 -U 617FA71E -F0 "Sheet617FA71D" 50 -F1 "pmos.sch" 50 -F2 "source" I R 3950 3450 50 -F3 "drain" O R 3950 3700 50 -F4 "gate" I L 3450 3550 50 -$EndSheet -Wire Wire Line - 2600 3450 3000 3450 -Wire Wire Line - 3000 3450 3000 3050 -Wire Wire Line - 3000 3050 2600 3050 -Wire Wire Line - 3950 3450 4350 3450 -Wire Wire Line - 4350 3450 4350 3050 -Wire Wire Line - 4350 3050 3950 3050 -$Sheet -S 4650 3700 500 450 -U 617FB4EC -F0 "Sheet617FB4EB" 50 -F1 "buffer.sch" 50 -F2 "in" I L 4650 3950 50 -F3 "out" O R 5150 3950 50 -$EndSheet -Wire Wire Line - 3950 3700 4350 3700 -Wire Wire Line - 4350 3700 4350 3950 -Wire Wire Line - 4350 3950 4650 3950 -Wire Wire Line - 2600 3700 3000 3700 -Wire Wire Line - 3000 3700 3000 3950 -Wire Wire Line - 3000 3950 4350 3950 -Connection ~ 4350 3950 -$Sheet -S 2100 4100 500 500 -U 617FD278 -F0 "Sheet617FD277" 50 -F1 "nmos.sch" 50 -F2 "source" I R 2600 4500 50 -F3 "drain" O R 2600 4200 50 -F4 "gate" I L 2100 4350 50 -$EndSheet -Wire Wire Line - 2600 4200 3000 4200 -Wire Wire Line - 3000 4200 3000 3950 -Connection ~ 3000 3950 -Text Label 2100 4350 2 50 ~ 0 -Cin -$Sheet -S 3450 4100 500 500 -U 61801128 -F0 "sheet61801123" 50 -F1 "nmos.sch" 50 -F2 "source" I R 3950 4500 50 -F3 "drain" O R 3950 4200 50 -F4 "gate" I L 3450 4350 50 -$EndSheet -$Sheet -S 1050 5550 500 500 -U 6180167A -F0 "sheet61801675" 50 -F1 "nmos.sch" 50 -F2 "source" I R 1550 5950 50 -F3 "drain" O R 1550 5650 50 -F4 "gate" I L 1050 5800 50 -$EndSheet -$Sheet -S 2100 5550 500 500 -U 61801B8B -F0 "sheet61801B86" 50 -F1 "nmos.sch" 50 -F2 "source" I R 2600 5950 50 -F3 "drain" O R 2600 5650 50 -F4 "gate" I L 2100 5800 50 -$EndSheet -$Sheet -S 3450 5550 500 500 -U 618020CC -F0 "sheet618020C7" 50 -F1 "nmos.sch" 50 -F2 "source" I R 3950 5950 50 -F3 "drain" O R 3950 5650 50 -F4 "gate" I L 3450 5800 50 -$EndSheet -$Sheet -S 2100 4800 500 450 -U 618026B5 -F0 "sheet618026B1" 50 -F1 "buffer.sch" 50 -F2 "in" I L 2100 5050 50 -F3 "out" O R 2600 5050 50 -$EndSheet -$Sheet -S 3450 4800 500 450 -U 61802BAA -F0 "sheet61802BA6" 50 -F1 "buffer.sch" 50 -F2 "in" I R 3950 5150 50 -F3 "out" O R 3950 4900 50 -$EndSheet -Wire Wire Line - 3950 4200 4350 4200 -Wire Wire Line - 4350 4200 4350 3950 -Wire Wire Line - 2600 5650 3050 5650 -Wire Wire Line - 3050 5650 3050 5400 -Wire Wire Line - 3050 5400 1950 5400 -Wire Wire Line - 1900 5400 1900 5050 -Wire Wire Line - 1900 5050 2100 5050 -Wire Wire Line - 1550 5650 1950 5650 -Wire Wire Line - 1950 5650 1950 5400 -Connection ~ 1950 5400 -Wire Wire Line - 1950 5400 1900 5400 -$Comp -L power:GND #PWR0102 -U 1 1 6180E090 -P 1950 6250 -F 0 "#PWR0102" H 1950 6000 50 0001 C CNN -F 1 "GND" H 1955 6077 50 0000 C CNN -F 2 "" H 1950 6250 50 0001 C CNN -F 3 "" H 1950 6250 50 0001 C CNN - 1 1950 6250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2750 6250 2750 5950 -Wire Wire Line - 2750 5950 2600 5950 -Wire Wire Line - 1550 5950 1700 5950 -Wire Wire Line - 1700 5950 1700 6250 -Wire Wire Line - 1700 6250 1950 6250 -Connection ~ 1950 6250 -Wire Wire Line - 1950 6250 2750 6250 -Wire Wire Line - 2750 6250 4100 6250 -Wire Wire Line - 4100 6250 4100 5950 -Wire Wire Line - 4100 5950 3950 5950 -Connection ~ 2750 6250 -Wire Wire Line - 3950 5650 4400 5650 -Wire Wire Line - 4400 5650 4400 5400 -Wire Wire Line - 4400 5150 3950 5150 -Wire Wire Line - 3950 4900 4400 4900 -Wire Wire Line - 4400 4900 4400 4500 -Wire Wire Line - 4400 4500 3950 4500 -Wire Wire Line - 2600 5050 3050 5050 -Wire Wire Line - 3050 5050 3050 4500 -Wire Wire Line - 3050 4500 2600 4500 -Text Label 1050 5800 2 50 ~ 0 -A -Text Label 2100 5800 2 50 ~ 0 -B -Text Label 3450 5800 2 50 ~ 0 -A -Text Label 3450 4350 2 50 ~ 0 -B -Text Label 1650 3650 0 50 ~ 0 -A -Text Label 1650 4400 0 50 ~ 0 -B -Wire Wire Line - 1000 850 1350 850 -Wire Wire Line - 1000 950 1350 950 -Text Label 1150 850 0 50 ~ 0 -VDD -Text Label 1150 950 0 50 ~ 0 -GND -Text Label 1000 1050 0 50 ~ 0 -Cin -Text Label 1350 1050 2 50 ~ 0 -Cout -$Comp -L power:VDD #PWR0113 -U 1 1 617F2ABD -P 1250 3550 -F 0 "#PWR0113" H 1250 3400 50 0001 C CNN -F 1 "VDD" H 1265 3723 50 0000 C CNN -F 2 "" H 1250 3550 50 0001 C CNN -F 3 "" H 1250 3550 50 0001 C CNN - 1 1250 3550 - 1 0 0 -1 -$EndComp -$Comp -L power:VDD #PWR0114 -U 1 1 617F316B -P 1250 4300 -F 0 "#PWR0114" H 1250 4150 50 0001 C CNN -F 1 "VDD" H 1265 4473 50 0000 C CNN -F 2 "" H 1250 4300 50 0001 C CNN -F 3 "" H 1250 4300 50 0001 C CNN - 1 1250 4300 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0115 -U 1 1 617F3747 -P 1250 3750 -F 0 "#PWR0115" H 1250 3500 50 0001 C CNN -F 1 "GND" H 1255 3577 50 0000 C CNN -F 2 "" H 1250 3750 50 0001 C CNN -F 3 "" H 1250 3750 50 0001 C CNN - 1 1250 3750 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0116 -U 1 1 617F3B9B -P 1250 4500 -F 0 "#PWR0116" H 1250 4250 50 0001 C CNN -F 1 "GND" H 1255 4327 50 0000 C CNN -F 2 "" H 1250 4500 50 0001 C CNN -F 3 "" H 1250 4500 50 0001 C CNN - 1 1250 4500 - 1 0 0 -1 -$EndComp -$Comp -L Connector:Conn_01x04_Male J1 -U 1 1 617F7592 -P 800 950 -F 0 "J1" H 908 1231 50 0000 C CNN -F 1 "Conn_01x04_Male" H 908 1140 50 0000 C CNN -F 2 "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal" H 800 950 50 0001 C CNN -F 3 "~" H 800 950 50 0001 C CNN - 1 800 950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1000 1150 1350 1150 -Text GLabel 1200 1150 3 50 Input ~ 0 -CK -$Sheet -S 1500 6550 500 450 -U 617EA119 -F0 "sheet617EA114" 50 -F1 "pmos.sch" 50 -F2 "source" I R 2000 6650 50 -F3 "drain" O R 2000 6900 50 -F4 "gate" I L 1500 6750 50 -$EndSheet -$Sheet -S 5000 2000 500 450 -U 617EB758 -F0 "sheet617EB753" 50 -F1 "pmos.sch" 50 -F2 "source" I R 5500 2100 50 -F3 "drain" O R 5500 2350 50 -F4 "gate" I L 5000 2200 50 -$EndSheet -$Sheet -S 6000 2000 500 450 -U 617ECBC3 -F0 "sheet617ECBBE" 50 -F1 "pmos.sch" 50 -F2 "source" I R 6500 2100 50 -F3 "drain" O R 6500 2350 50 -F4 "gate" I L 6000 2200 50 -$EndSheet -$Sheet -S 7000 2000 500 450 -U 617EDFF6 -F0 "sheet617EDFF1" 50 -F1 "pmos.sch" 50 -F2 "source" I R 7500 2100 50 -F3 "drain" O R 7500 2350 50 -F4 "gate" I L 7000 2200 50 -$EndSheet -$Sheet -S 6000 3350 500 450 -U 617EF7A1 -F0 "sheet617EF79C" 50 -F1 "pmos.sch" 50 -F2 "source" I R 6500 3450 50 -F3 "drain" O R 6500 3700 50 -F4 "gate" I L 6000 3550 50 -$EndSheet -$Sheet -S 6000 2700 500 450 -U 617F0BFE -F0 "sheet617F0BFA" 50 -F1 "buffer.sch" 50 -F2 "in" I R 6500 2800 50 -F3 "out" O R 6500 3050 50 -$EndSheet -$Sheet -S 6000 4100 500 500 -U 617F2465 -F0 "sheet617F2460" 50 -F1 "nmos.sch" 50 -F2 "source" I R 6500 4500 50 -F3 "drain" O R 6500 4200 50 -F4 "gate" I L 6000 4350 50 -$EndSheet -$Sheet -S 7000 5550 500 500 -U 617F3F28 -F0 "sheet617F3F23" 50 -F1 "nmos.sch" 50 -F2 "source" I R 7500 5950 50 -F3 "drain" O R 7500 5650 50 -F4 "gate" I L 7000 5800 50 -$EndSheet -$Sheet -S 6000 5550 500 500 -U 617F542F -F0 "sheet617F542A" 50 -F1 "nmos.sch" 50 -F2 "source" I R 6500 5950 50 -F3 "drain" O R 6500 5650 50 -F4 "gate" I L 6000 5800 50 -$EndSheet -$Sheet -S 5000 5550 500 500 -U 617F68DA -F0 "sheet617F68D5" 50 -F1 "nmos.sch" 50 -F2 "source" I R 5500 5950 50 -F3 "drain" O R 5500 5650 50 -F4 "gate" I L 5000 5800 50 -$EndSheet -$Sheet -S 1500 7150 500 500 -U 6180E2AE -F0 "sheet6180E2A9" 50 -F1 "nmos.sch" 50 -F2 "source" I R 2000 7550 50 -F3 "drain" O R 2000 7250 50 -F4 "gate" I L 1500 7400 50 -$EndSheet -$Sheet -S 2450 6850 500 450 -U 6180F852 -F0 "sheet6180F84E" 50 -F1 "buffer.sch" 50 -F2 "in" I L 2450 7100 50 -F3 "out" O R 2950 7100 50 -$EndSheet -Wire Wire Line - 4300 1800 5900 1800 -Wire Wire Line - 7900 1800 7900 2100 -Wire Wire Line - 7900 2100 7500 2100 -Connection ~ 4300 1800 -Wire Wire Line - 6950 1800 6950 2100 -Wire Wire Line - 6950 2100 6500 2100 -Connection ~ 6950 1800 -Wire Wire Line - 6950 1800 7900 1800 -Wire Wire Line - 5900 1800 5900 2100 -Wire Wire Line - 5900 2100 5500 2100 -Connection ~ 5900 1800 -Wire Wire Line - 5900 1800 6950 1800 -Wire Wire Line - 5500 2350 5900 2350 -Wire Wire Line - 5900 2350 5900 2600 -Wire Wire Line - 5900 2600 6650 2600 -Wire Wire Line - 7600 2600 7600 2350 -Wire Wire Line - 7600 2350 7500 2350 -Wire Wire Line - 6500 2350 6650 2350 -Wire Wire Line - 6650 2350 6650 2600 -Connection ~ 6650 2600 -Wire Wire Line - 6650 2600 6950 2600 -Wire Wire Line - 6950 2600 6950 2800 -Wire Wire Line - 6950 2800 6500 2800 -Connection ~ 6950 2600 -Wire Wire Line - 6950 2600 7600 2600 -Wire Wire Line - 6950 3050 6950 3450 -Wire Wire Line - 6950 3450 6500 3450 -Wire Wire Line - 6500 3050 6950 3050 -Wire Wire Line - 5150 3950 5750 3950 -Wire Wire Line - 5750 3950 5750 3550 -Wire Wire Line - 5750 3550 6000 3550 -Wire Wire Line - 5750 3950 5750 4350 -Wire Wire Line - 5750 4350 6000 4350 -Connection ~ 5750 3950 -$Sheet -S 6000 4800 500 450 -U 61827E85 -F0 "sheet61827E81" 50 -F1 "buffer.sch" 50 -F2 "in" I L 6000 5050 50 -F3 "out" O R 6500 5050 50 -$EndSheet -Wire Wire Line - 7700 6250 7700 5950 -Wire Wire Line - 7700 5950 7500 5950 -Connection ~ 4100 6250 -Wire Wire Line - 6650 6250 6650 5950 -Wire Wire Line - 6650 5950 6500 5950 -Wire Wire Line - 4100 6250 5650 6250 -Connection ~ 6650 6250 -Wire Wire Line - 6650 6250 7700 6250 -Wire Wire Line - 5650 6250 5650 5950 -Wire Wire Line - 5650 5950 5500 5950 -Connection ~ 5650 6250 -Wire Wire Line - 5650 6250 6650 6250 -Wire Wire Line - 5500 5650 5900 5650 -Wire Wire Line - 5900 5650 5900 5400 -Wire Wire Line - 5900 5400 6900 5400 -Wire Wire Line - 7900 5400 7900 5650 -Wire Wire Line - 7900 5650 7500 5650 -Wire Wire Line - 6500 5650 6900 5650 -Wire Wire Line - 6900 5650 6900 5400 -Connection ~ 6900 5400 -Wire Wire Line - 6900 5400 7900 5400 -Wire Wire Line - 5900 5400 5900 5050 -Wire Wire Line - 5900 5050 6000 5050 -Connection ~ 5900 5400 -Wire Wire Line - 6500 5050 6950 5050 -Wire Wire Line - 6950 5050 6950 4500 -Wire Wire Line - 6950 4500 6500 4500 -Text Label 5000 5800 2 50 ~ 0 -A -Text Label 6000 5800 2 50 ~ 0 -B -Text Label 7000 5800 2 50 ~ 0 -Cin -Text Label 5000 2200 2 50 ~ 0 -A -Text Label 6000 2200 2 50 ~ 0 -B -Text Label 7000 2200 2 50 ~ 0 -Cin -$Comp -L Device:R R11 -U 1 1 61844219 -P 1650 2750 -F 0 "R11" H 1720 2796 50 0000 L CNN -F 1 "10k" H 1720 2705 50 0000 L CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 1580 2750 50 0001 C CNN -F 3 "~" H 1650 2750 50 0001 C CNN - 1 1650 2750 - 1 0 0 -1 -$EndComp -Connection ~ 1650 2600 -$Comp -L Device:R R13 -U 1 1 61844A85 -P 4500 2750 -F 0 "R13" H 4430 2704 50 0000 R CNN -F 1 "10k" H 4430 2795 50 0000 R CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 4430 2750 50 0001 C CNN -F 3 "~" H 4500 2750 50 0001 C CNN - 1 4500 2750 - -1 0 0 1 -$EndComp -Wire Wire Line - 4500 2600 4350 2600 -Connection ~ 4350 2600 -Wire Wire Line - 4350 2600 4350 2800 -$Comp -L power:GND #PWR0117 -U 1 1 61849548 -P 1650 2900 -F 0 "#PWR0117" H 1650 2650 50 0001 C CNN -F 1 "GND" H 1655 2727 50 0000 C CNN -F 2 "" H 1650 2900 50 0001 C CNN -F 3 "" H 1650 2900 50 0001 C CNN - 1 1650 2900 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0118 -U 1 1 61849A5B -P 4500 2900 -F 0 "#PWR0118" H 4500 2650 50 0001 C CNN -F 1 "GND" H 4505 2727 50 0000 C CNN -F 2 "" H 4500 2900 50 0001 C CNN -F 3 "" H 4500 2900 50 0001 C CNN - 1 4500 2900 - 1 0 0 -1 -$EndComp -$Comp -L Device:R R12 -U 1 1 6184A110 -P 1650 5250 -F 0 "R12" H 1720 5296 50 0000 L CNN -F 1 "10k" H 1720 5205 50 0000 L CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 1580 5250 50 0001 C CNN -F 3 "~" H 1650 5250 50 0001 C CNN - 1 1650 5250 - 1 0 0 -1 -$EndComp -$Comp -L Device:R R14 -U 1 1 6184A7D3 -P 4550 5250 -F 0 "R14" H 4620 5296 50 0000 L CNN -F 1 "10k" H 4620 5205 50 0000 L CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 4480 5250 50 0001 C CNN -F 3 "~" H 4550 5250 50 0001 C CNN - 1 4550 5250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4550 5400 4400 5400 -Connection ~ 4400 5400 -Wire Wire Line - 4400 5400 4400 5150 -Wire Wire Line - 1650 5400 1900 5400 -Connection ~ 1900 5400 -$Comp -L power:VDD #PWR0119 -U 1 1 61852370 -P 1650 5100 -F 0 "#PWR0119" H 1650 4950 50 0001 C CNN -F 1 "VDD" H 1665 5273 50 0000 C CNN -F 2 "" H 1650 5100 50 0001 C CNN -F 3 "" H 1650 5100 50 0001 C CNN - 1 1650 5100 - 1 0 0 -1 -$EndComp -$Comp -L power:VDD #PWR0120 -U 1 1 618528AC -P 4550 5100 -F 0 "#PWR0120" H 4550 4950 50 0001 C CNN -F 1 "VDD" H 4565 5273 50 0000 C CNN -F 2 "" H 4550 5100 50 0001 C CNN -F 3 "" H 4550 5100 50 0001 C CNN - 1 4550 5100 - 1 0 0 -1 -$EndComp -Text Label 5300 3950 0 50 ~ 0 -Coutinv -Text Label 900 7100 0 50 ~ 0 -Coutinv -Wire Wire Line - 900 7100 1250 7100 -Wire Wire Line - 1250 7100 1250 6750 -Wire Wire Line - 1250 6750 1500 6750 -Wire Wire Line - 1250 7100 1250 7400 -Wire Wire Line - 1250 7400 1500 7400 -Connection ~ 1250 7100 -Wire Wire Line - 2000 7250 2400 7250 -Wire Wire Line - 2400 7250 2400 7100 -Wire Wire Line - 2400 7100 2450 7100 -Wire Wire Line - 2000 6900 2400 6900 -Wire Wire Line - 2400 6900 2400 7100 -Connection ~ 2400 7100 -Wire Wire Line - 2950 7100 3000 7100 -Text Label 3000 7100 0 50 ~ 0 -Cout -$Comp -L power:VDD #PWR0121 -U 1 1 6187B253 -P 2000 6650 -F 0 "#PWR0121" H 2000 6500 50 0001 C CNN -F 1 "VDD" V 2015 6778 50 0000 L CNN -F 2 "" H 2000 6650 50 0001 C CNN -F 3 "" H 2000 6650 50 0001 C CNN - 1 2000 6650 - 0 1 1 0 -$EndComp -$Comp -L power:GND #PWR0122 -U 1 1 6187B933 -P 2000 7550 -F 0 "#PWR0122" H 2000 7300 50 0001 C CNN -F 1 "GND" V 2005 7422 50 0000 R CNN -F 2 "" H 2000 7550 50 0001 C CNN -F 3 "" H 2000 7550 50 0001 C CNN - 1 2000 7550 - 0 -1 -1 0 -$EndComp -Wire Wire Line - 6500 4200 6850 4200 -Wire Wire Line - 6850 4200 6850 3950 -Wire Wire Line - 6500 3700 6850 3700 -Wire Wire Line - 6850 3700 6850 3950 -Connection ~ 6850 3950 -$Sheet -S 8050 2000 500 450 -U 6188A626 -F0 "sheet6188A621" 50 -F1 "pmos.sch" 50 -F2 "source" I R 8550 2100 50 -F3 "drain" O R 8550 2350 50 -F4 "gate" I L 8050 2200 50 -$EndSheet -Text Label 8050 2200 2 50 ~ 0 -A -$Sheet -S 8050 2700 500 450 -U 6188F5BD -F0 "sheet6188F5B8" 50 -F1 "pmos.sch" 50 -F2 "source" I R 8550 2800 50 -F3 "drain" O R 8550 3050 50 -F4 "gate" I L 8050 2900 50 -$EndSheet -Text Label 8050 2900 2 50 ~ 0 -B -$Sheet -S 8050 3350 500 450 -U 6189461A -F0 "sheet61894610" 50 -F1 "pmos.sch" 50 -F2 "source" I R 8550 3450 50 -F3 "drain" O R 8550 3700 50 -F4 "gate" I L 8050 3550 50 -$EndSheet -Text Label 8050 3550 2 50 ~ 0 -Cin -$Sheet -S 9850 2350 500 450 -U 6189E1B8 -F0 "sheet6189E1B4" 50 -F1 "buffer.sch" 50 -F2 "in" I L 9850 2450 50 -F3 "out" O L 9850 2700 50 -$EndSheet -Wire Wire Line - 8550 2800 9000 2800 -Wire Wire Line - 9000 2800 9000 2700 -Wire Wire Line - 9000 2700 9850 2700 -$Sheet -S 9850 3050 500 450 -U 618AD774 -F0 "sheet618AD770" 50 -F1 "buffer.sch" 50 -F2 "in" I L 9850 3150 50 -F3 "out" O L 9850 3400 50 -$EndSheet -Wire Wire Line - 8550 3050 8800 3050 -Wire Wire Line - 8800 3050 8800 3150 -Wire Wire Line - 8800 3150 9250 3150 -Wire Wire Line - 8550 3450 8800 3450 -Wire Wire Line - 8800 3450 8800 3400 -Wire Wire Line - 8800 3400 9850 3400 -$Sheet -S 9100 3700 500 450 -U 618BDD8A -F0 "sheet618BDD86" 50 -F1 "buffer.sch" 50 -F2 "in" I L 9100 3950 50 -F3 "out" O R 9600 3950 50 -$EndSheet -Wire Wire Line - 8550 3700 8750 3700 -Wire Wire Line - 8750 3700 8750 3950 -Wire Wire Line - 8750 3950 9100 3950 -$Comp -L Device:R R15 -U 1 1 618C9560 -P 9250 2300 -F 0 "R15" H 9320 2346 50 0000 L CNN -F 1 "10k" H 9320 2255 50 0000 L CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 9180 2300 50 0001 C CNN -F 3 "~" H 9250 2300 50 0001 C CNN - 1 9250 2300 - 1 0 0 -1 -$EndComp -Connection ~ 9250 2450 -Wire Wire Line - 9250 2450 9850 2450 -Connection ~ 9250 3150 -Wire Wire Line - 9250 3150 9850 3150 -$Comp -L Device:R R16 -U 1 1 618C9D18 -P 9250 3000 -F 0 "R16" H 9320 3046 50 0000 L CNN -F 1 "10k" H 9320 2955 50 0000 L CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 9180 3000 50 0001 C CNN -F 3 "~" H 9250 3000 50 0001 C CNN - 1 9250 3000 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0123 -U 1 1 618D0AEB -P 9250 2150 -F 0 "#PWR0123" H 9250 1900 50 0001 C CNN -F 1 "GND" H 9255 1977 50 0000 C CNN -F 2 "" H 9250 2150 50 0001 C CNN -F 3 "" H 9250 2150 50 0001 C CNN - 1 9250 2150 - -1 0 0 1 -$EndComp -$Comp -L power:GND #PWR0124 -U 1 1 618D1036 -P 9250 2850 -F 0 "#PWR0124" H 9250 2600 50 0001 C CNN -F 1 "GND" H 9255 2677 50 0000 C CNN -F 2 "" H 9250 2850 50 0001 C CNN -F 3 "" H 9250 2850 50 0001 C CNN - 1 9250 2850 - -1 0 0 1 -$EndComp -Wire Wire Line - 8550 2350 8800 2350 -Wire Wire Line - 8800 2450 9250 2450 -Wire Wire Line - 8800 2350 8800 2450 -$Sheet -S 8050 4100 500 500 -U 618F6378 -F0 "sheet618F6373" 50 -F1 "nmos.sch" 50 -F2 "source" I R 8550 4500 50 -F3 "drain" O R 8550 4200 50 -F4 "gate" I L 8050 4350 50 -$EndSheet -Text Label 8050 4350 2 50 ~ 0 -Cin -$Sheet -S 8050 4800 500 500 -U 61902300 -F0 "sheet619022FA" 50 -F1 "nmos.sch" 50 -F2 "source" I R 8550 5200 50 -F3 "drain" O R 8550 4900 50 -F4 "gate" I L 8050 5050 50 -$EndSheet -$Sheet -S 8050 5550 500 500 -U 61902305 -F0 "sheet619022FB" 50 -F1 "nmos.sch" 50 -F2 "source" I R 8550 5950 50 -F3 "drain" O R 8550 5650 50 -F4 "gate" I L 8050 5800 50 -$EndSheet -Text Label 8050 5800 2 50 ~ 0 -A -Text Label 8050 5050 2 50 ~ 0 -B -Wire Wire Line - 7700 6250 8650 6250 -Wire Wire Line - 8650 6250 8650 5950 -Wire Wire Line - 8650 5950 8550 5950 -Connection ~ 7700 6250 -$Sheet -S 9850 4500 500 450 -U 6191B1A4 -F0 "sheet6191B1A0" 50 -F1 "buffer.sch" 50 -F2 "in" I L 9850 4600 50 -F3 "out" O L 9850 4850 50 -$EndSheet -$Sheet -S 9850 5200 500 450 -U 61921364 -F0 "sheet61921360" 50 -F1 "buffer.sch" 50 -F2 "in" I L 9850 5300 50 -F3 "out" O L 9850 5550 50 -$EndSheet -Wire Wire Line - 8550 4200 8750 4200 -Wire Wire Line - 8750 4200 8750 3950 -Connection ~ 8750 3950 -Wire Wire Line - 8550 4500 9000 4500 -Wire Wire Line - 9000 4500 9000 4600 -Wire Wire Line - 9000 4600 9850 4600 -Wire Wire Line - 8550 4900 9000 4900 -Wire Wire Line - 9000 4900 9000 4850 -Wire Wire Line - 9000 4850 9250 4850 -Wire Wire Line - 8550 5200 9000 5200 -Wire Wire Line - 9000 5200 9000 5300 -Wire Wire Line - 9000 5300 9850 5300 -Wire Wire Line - 8550 5650 9000 5650 -Wire Wire Line - 9000 5650 9000 5550 -Wire Wire Line - 9000 5550 9250 5550 -$Comp -L Device:R R18 -U 1 1 6194FF3B -P 9250 5700 -F 0 "R18" H 9320 5746 50 0000 L CNN -F 1 "10k" H 9320 5655 50 0000 L CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 9180 5700 50 0001 C CNN -F 3 "~" H 9250 5700 50 0001 C CNN - 1 9250 5700 - 1 0 0 -1 -$EndComp -Connection ~ 9250 5550 -Wire Wire Line - 9250 5550 9850 5550 -$Comp -L Device:R R17 -U 1 1 61950579 -P 9250 5000 -F 0 "R17" H 9320 5046 50 0000 L CNN -F 1 "10k" H 9320 4955 50 0000 L CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 9180 5000 50 0001 C CNN -F 3 "~" H 9250 5000 50 0001 C CNN - 1 9250 5000 - 1 0 0 -1 -$EndComp -Connection ~ 9250 4850 -Wire Wire Line - 9250 4850 9850 4850 -$Comp -L power:VDD #PWR0125 -U 1 1 61950FA6 -P 9250 5150 -F 0 "#PWR0125" H 9250 5000 50 0001 C CNN -F 1 "VDD" V 9265 5278 50 0000 L CNN -F 2 "" H 9250 5150 50 0001 C CNN -F 3 "" H 9250 5150 50 0001 C CNN - 1 9250 5150 - 0 1 1 0 -$EndComp -$Comp -L power:VDD #PWR0126 -U 1 1 61951B0C -P 9250 5850 -F 0 "#PWR0126" H 9250 5700 50 0001 C CNN -F 1 "VDD" V 9265 5978 50 0000 L CNN -F 2 "" H 9250 5850 50 0001 C CNN -F 3 "" H 9250 5850 50 0001 C CNN - 1 9250 5850 - 0 1 1 0 -$EndComp -Wire Wire Line - 9600 3950 10050 3950 -Text Label 9950 3950 0 50 ~ 0 -Sinv -$Sheet -S 4150 6550 500 450 -U 6196C125 -F0 "sheet6196C11E" 50 -F1 "pmos.sch" 50 -F2 "source" I R 4650 6650 50 -F3 "drain" O R 4650 6900 50 -F4 "gate" I L 4150 6750 50 -$EndSheet -$Sheet -S 4150 7150 500 500 -U 6196C12A -F0 "sheet6196C11F" 50 -F1 "nmos.sch" 50 -F2 "source" I R 4650 7550 50 -F3 "drain" O R 4650 7250 50 -F4 "gate" I L 4150 7400 50 -$EndSheet -$Sheet -S 5100 6850 500 450 -U 6196C12E -F0 "sheet6196C120" 50 -F1 "buffer.sch" 50 -F2 "in" I L 5100 7100 50 -F3 "out" O R 5600 7100 50 -$EndSheet -Text Label 3550 7100 0 50 ~ 0 -Sinv -Wire Wire Line - 3550 7100 3900 7100 -Wire Wire Line - 3900 7100 3900 6750 -Wire Wire Line - 3900 6750 4150 6750 -Wire Wire Line - 3900 7100 3900 7400 -Wire Wire Line - 3900 7400 4150 7400 -Connection ~ 3900 7100 -Wire Wire Line - 4650 7250 5050 7250 -Wire Wire Line - 5050 7250 5050 7100 -Wire Wire Line - 5050 7100 5100 7100 -Wire Wire Line - 4650 6900 5050 6900 -Wire Wire Line - 5050 6900 5050 7100 -Connection ~ 5050 7100 -Wire Wire Line - 5600 7100 5650 7100 -Text Label 5650 7100 0 50 ~ 0 -S -$Comp -L power:VDD #PWR0127 -U 1 1 6196C143 -P 4650 6650 -F 0 "#PWR0127" H 4650 6500 50 0001 C CNN -F 1 "VDD" V 4665 6778 50 0000 L CNN -F 2 "" H 4650 6650 50 0001 C CNN -F 3 "" H 4650 6650 50 0001 C CNN - 1 4650 6650 - 0 1 1 0 -$EndComp -$Comp -L power:GND #PWR0128 -U 1 1 6196C149 -P 4650 7550 -F 0 "#PWR0128" H 4650 7300 50 0001 C CNN -F 1 "GND" V 4655 7422 50 0000 R CNN -F 2 "" H 4650 7550 50 0001 C CNN -F 3 "" H 4650 7550 50 0001 C CNN - 1 4650 7550 - 0 -1 -1 0 -$EndComp -Wire Wire Line - 6850 3950 8750 3950 -Wire Wire Line - 10100 900 10500 900 -$Comp -L power:GND #PWR0135 -U 1 1 617FEF2C -P 10500 900 -F 0 "#PWR0135" H 10500 650 50 0001 C CNN -F 1 "GND" H 10505 727 50 0000 C CNN -F 2 "" H 10500 900 50 0001 C CNN -F 3 "" H 10500 900 50 0001 C CNN - 1 10500 900 - 1 0 0 -1 -$EndComp -Connection ~ 10500 900 -Wire Wire Line - 10500 900 10850 900 -$Comp -L Switch:SW_SPDT SW2 -U 1 1 617F2061 -P 1450 4400 -F 0 "SW2" H 1450 4685 50 0000 C CNN -F 1 "SW_SPDT" H 1450 4594 50 0000 C CNN -F 2 "digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm" H 1450 4400 50 0001 C CNN -F 3 "~" H 1450 4400 50 0001 C CNN - 1 1450 4400 - -1 0 0 1 -$EndComp -$Comp -L Switch:SW_SPDT SW1 -U 1 1 617F0571 -P 1450 3650 -F 0 "SW1" H 1450 3935 50 0000 C CNN -F 1 "SW_SPDT" H 1450 3844 50 0000 C CNN -F 2 "digikey-footprints:PinHeader_1x3_P2.5mm_Drill1.1mm" H 1450 3650 50 0001 C CNN -F 3 "~" H 1450 3650 50 0001 C CNN - 1 1450 3650 - -1 0 0 1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H1 -U 1 1 617F592E -P 10100 800 -F 0 "H1" H 10200 849 50 0000 L CNN -F 1 "MountingHole_Pad" H 10200 758 50 0000 L CNN -F 2 "adder:AlignmentHole_3.2mm_M3" H 10100 800 50 0001 C CNN -F 3 "~" H 10100 800 50 0001 C CNN - 1 10100 800 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H2 -U 1 1 617F4EAF -P 10850 800 -F 0 "H2" H 10950 849 50 0000 L CNN -F 1 "MountingHole_Pad" H 10950 758 50 0000 L CNN -F 2 "adder:AlignmentHole_3.2mm_M3" H 10850 800 50 0001 C CNN -F 3 "~" H 10850 800 50 0001 C CNN - 1 10850 800 - 1 0 0 -1 -$EndComp -$Comp -L Connector:Conn_01x04_Female J2 -U 1 1 617F873D -P 1550 950 -F 0 "J2" H 1578 926 50 0000 L CNN -F 1 "Conn_01x04_Female" H 1578 835 50 0000 L CNN -F 2 "Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Horizontal" H 1550 950 50 0001 C CNN -F 3 "~" H 1550 950 50 0001 C CNN - 1 1550 950 - 1 0 0 -1 -$EndComp -$EndSCHEMATC diff --git a/buffer.kicad_sch b/buffer.kicad_sch new file mode 100644 index 0000000..bd69f76 --- /dev/null +++ b/buffer.kicad_sch @@ -0,0 +1,233 @@ +(kicad_sch (version 20210621) (generator eeschema) + + (uuid 9d4aace8-7a3b-4c69-ae62-6e20c4e7b9ee) + + (paper "A4") + + (lib_symbols + (symbol "74xGxx:74LVC1G79" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -2.54 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G79" (id 1) (at 0 -5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Single D Flip-Flop D CMOS" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Single D Flip-Flop, Low-Voltage CMOS" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT* SG*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "74LVC1G79_0_1" + (rectangle (start -3.81 3.81) (end 3.81 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "74LVC1G79_1_1" + (pin input line (at -6.35 2.54 0) (length 2.54) + (name "D" (effects (font (size 1.016 1.016)))) + (number "1" (effects (font (size 1.016 1.016)))) + ) + (pin input clock (at -6.35 -2.54 0) (length 2.54) + (name "C" (effects (font (size 1.016 1.016)))) + (number "2" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 0 -3.81 270) (length 0) hide + (name "GND" (effects (font (size 1.016 1.016)))) + (number "3" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 6.35 2.54 180) (length 2.54) + (name "Q" (effects (font (size 1.016 1.016)))) + (number "4" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 0 3.81 90) (length 0) hide + (name "VCC" (effects (font (size 1.016 1.016)))) + (number "5" (effects (font (size 1.016 1.016)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:VDD" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"VDD\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDD_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "VDD_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + + (wire (pts (xy 135.89 99.06) (xy 130.81 99.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2fbeb4d7-6d17-4cb7-8caf-aad1fb5a697c) + ) + (wire (pts (xy 142.24 86.36) (xy 142.24 92.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 41338b38-25f9-414f-aaeb-ad7dffae05bc) + ) + (wire (pts (xy 125.73 93.98) (xy 135.89 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4e0a5dbd-25b1-4cd7-98e4-1fa1e1cbd63e) + ) + + (global_label "CK" (shape input) (at 130.81 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 92b61335-0f1c-4569-80c0-d4608073615c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (hierarchical_label "out" (shape output) (at 148.59 93.98 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9c4078ea-2fcb-4103-abc9-405be90228b6) + ) + (hierarchical_label "in" (shape input) (at 125.73 93.98 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d94865ba-c4fa-43b2-aef2-5a0571c8416a) + ) + + (symbol (lib_id "74xGxx:74LVC1G79") (at 142.24 96.52 0) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f83e0) + (property "Reference" "U15" (id 0) (at 142.24 88.4682 0)) + (property "Value" "B" (id 1) (at 142.24 90.7796 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-353_SC-70-5" (id 2) (at 142.24 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 142.24 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1eec7943-1d58-457e-966c-d7a0ba3d7ce7)) + (pin "2" (uuid 0bb2160b-fa35-4559-bdcd-82c093204b31)) + (pin "3" (uuid 44e65940-c3ee-4b93-acb9-9b892efbb583)) + (pin "4" (uuid 0ddb5968-3804-4365-8ddc-3af3d0dd9fd1)) + (pin "5" (uuid b3d42550-d8fb-4601-a3f3-845b823a3710)) + ) + + (symbol (lib_id "power:GND") (at 142.24 100.33 0) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f83e9) + (property "Reference" "#PWR0147" (id 0) (at 142.24 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 142.367 104.7242 0)) + (property "Footprint" "" (id 2) (at 142.24 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 142.24 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8a30de3e-22b5-4378-8234-6c99b2f56a2c)) + ) + + (symbol (lib_id "power:VDD") (at 142.24 86.36 0) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f880c) + (property "Reference" "#PWR0148" (id 0) (at 142.24 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDD" (id 1) (at 142.621 81.9658 0)) + (property "Footprint" "" (id 2) (at 142.24 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 142.24 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1849ae58-ec02-4354-b69e-39ffcc5a76a0)) + ) +) diff --git a/buffer.sch b/buffer.sch deleted file mode 100644 index 1aeb113..0000000 --- a/buffer.sch +++ /dev/null @@ -1,111 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 9 43 -Title "" -Date "" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L 74xGxx:74LVC1G79 U? -U 1 1 617F83E0 -P 5600 3800 -AR Path="/617F83E0" Ref="U?" Part="1" -AR Path="/617F77F8/617F83E0" Ref="U1" Part="1" -AR Path="/617F9D75/617F83E0" Ref="U2" Part="1" -AR Path="/617FB4EC/617F83E0" Ref="U3" Part="1" -AR Path="/618026B5/617F83E0" Ref="U4" Part="1" -AR Path="/61802BAA/617F83E0" Ref="U5" Part="1" -AR Path="/617F0BFE/617F83E0" Ref="U6" Part="1" -AR Path="/6180F852/617F83E0" Ref="U7" Part="1" -AR Path="/61827E85/617F83E0" Ref="U8" Part="1" -AR Path="/6187BF05/617F83E0" Ref="U9" Part="1" -AR Path="/6189E1B8/617F83E0" Ref="U10" Part="1" -AR Path="/618AD774/617F83E0" Ref="U11" Part="1" -AR Path="/618BDD8A/617F83E0" Ref="U12" Part="1" -AR Path="/6191B1A4/617F83E0" Ref="U13" Part="1" -AR Path="/61921364/617F83E0" Ref="U14" Part="1" -AR Path="/61962662/617F83E0" Ref="U?" Part="1" -AR Path="/6196C12E/617F83E0" Ref="U15" Part="1" -F 0 "U15" H 5600 4117 50 0000 C CNN -F 1 "B" H 5600 4026 50 0000 C CNN -F 2 "Package_TO_SOT_SMD:SOT-353_SC-70-5" H 5600 3800 50 0001 C CNN -F 3 "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" H 5600 3800 50 0001 C CNN - 1 5600 3800 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5350 3900 5150 3900 -$Comp -L power:GND #PWR? -U 1 1 617F83E9 -P 5600 3950 -AR Path="/617F83E9" Ref="#PWR?" Part="1" -AR Path="/617F77F8/617F83E9" Ref="#PWR0103" Part="1" -AR Path="/617F9D75/617F83E9" Ref="#PWR0105" Part="1" -AR Path="/617FB4EC/617F83E9" Ref="#PWR0107" Part="1" -AR Path="/618026B5/617F83E9" Ref="#PWR0109" Part="1" -AR Path="/61802BAA/617F83E9" Ref="#PWR0111" Part="1" -AR Path="/617F0BFE/617F83E9" Ref="#PWR0129" Part="1" -AR Path="/6180F852/617F83E9" Ref="#PWR0131" Part="1" -AR Path="/61827E85/617F83E9" Ref="#PWR0133" Part="1" -AR Path="/6187BF05/617F83E9" Ref="#PWR0135" Part="1" -AR Path="/6189E1B8/617F83E9" Ref="#PWR0137" Part="1" -AR Path="/618AD774/617F83E9" Ref="#PWR0139" Part="1" -AR Path="/618BDD8A/617F83E9" Ref="#PWR0141" Part="1" -AR Path="/6191B1A4/617F83E9" Ref="#PWR0143" Part="1" -AR Path="/61921364/617F83E9" Ref="#PWR0145" Part="1" -AR Path="/61962662/617F83E9" Ref="#PWR?" Part="1" -AR Path="/6196C12E/617F83E9" Ref="#PWR0147" Part="1" -F 0 "#PWR0147" H 5600 3700 50 0001 C CNN -F 1 "GND" H 5605 3777 50 0000 C CNN -F 2 "" H 5600 3950 50 0001 C CNN -F 3 "" H 5600 3950 50 0001 C CNN - 1 5600 3950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5600 3400 5600 3650 -Wire Wire Line - 4950 3700 5350 3700 -$Comp -L power:VDD #PWR0104 -U 1 1 617F880C -P 5600 3400 -AR Path="/617F77F8/617F880C" Ref="#PWR0104" Part="1" -AR Path="/617F9D75/617F880C" Ref="#PWR0106" Part="1" -AR Path="/617FB4EC/617F880C" Ref="#PWR0108" Part="1" -AR Path="/618026B5/617F880C" Ref="#PWR0110" Part="1" -AR Path="/61802BAA/617F880C" Ref="#PWR0112" Part="1" -AR Path="/617F0BFE/617F880C" Ref="#PWR0130" Part="1" -AR Path="/6180F852/617F880C" Ref="#PWR0132" Part="1" -AR Path="/61827E85/617F880C" Ref="#PWR0134" Part="1" -AR Path="/6187BF05/617F880C" Ref="#PWR0136" Part="1" -AR Path="/6189E1B8/617F880C" Ref="#PWR0138" Part="1" -AR Path="/618AD774/617F880C" Ref="#PWR0140" Part="1" -AR Path="/618BDD8A/617F880C" Ref="#PWR0142" Part="1" -AR Path="/6191B1A4/617F880C" Ref="#PWR0144" Part="1" -AR Path="/61921364/617F880C" Ref="#PWR0146" Part="1" -AR Path="/61962662/617F880C" Ref="#PWR?" Part="1" -AR Path="/6196C12E/617F880C" Ref="#PWR0148" Part="1" -F 0 "#PWR0148" H 5600 3250 50 0001 C CNN -F 1 "VDD" H 5615 3573 50 0000 C CNN -F 2 "" H 5600 3400 50 0001 C CNN -F 3 "" H 5600 3400 50 0001 C CNN - 1 5600 3400 - 1 0 0 -1 -$EndComp -Text HLabel 4950 3700 0 50 Input ~ 0 -in -Text HLabel 5850 3700 2 50 Output ~ 0 -out -Text GLabel 5150 3900 0 50 Input ~ 0 -CK -$EndSCHEMATC diff --git a/nmos.kicad_sch b/nmos.kicad_sch new file mode 100644 index 0000000..3294c52 --- /dev/null +++ b/nmos.kicad_sch @@ -0,0 +1,354 @@ +(kicad_sch (version 20210621) (generator eeschema) + + (uuid 8d3e38ae-1dda-450f-90d7-7550c375da0b) + + (paper "A4") + + (lib_symbols + (symbol "Device:LED" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LED" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "LED diode" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Light emitting diode" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LED_0_1" + (polyline + (pts + (xy -1.27 -1.27) + (xy -1.27 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy 1.27 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 1.27 1.27) + (xy -1.27 0) + (xy 1.27 -1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.048 -0.762) + (xy -4.572 -2.286) + (xy -3.81 -2.286) + (xy -4.572 -2.286) + (xy -4.572 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.778 -0.762) + (xy -3.302 -2.286) + (xy -2.54 -2.286) + (xy -3.302 -2.286) + (xy -3.302 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "LED_1_1" + (pin passive line (at -3.81 0 0) (length 2.54) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:Q_NMOS_GSD" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (id 0) (at 5.08 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Q_NMOS_GSD" (id 1) (at 5.08 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 5.08 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "transistor NMOS N-MOS N-MOSFET" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "N-MOSFET transistor, gate/source/drain" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Q_NMOS_GSD_0_1" + (polyline + (pts + (xy 0.254 0) + (xy -2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.254 1.905) + (xy 0.254 -1.905) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.27) + (xy 0.762 -2.286) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 0.508) + (xy 0.762 -0.508) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 2.286) + (xy 0.762 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 2.54) + (xy 2.54 1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -2.54) + (xy 2.54 0) + (xy 0.762 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.778) + (xy 3.302 -1.778) + (xy 3.302 1.778) + (xy 0.762 1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 0) + (xy 2.032 0.381) + (xy 2.032 -0.381) + (xy 1.016 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy 2.794 0.508) + (xy 2.921 0.381) + (xy 3.683 0.381) + (xy 3.81 0.254) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.302 0.381) + (xy 2.921 -0.254) + (xy 3.683 -0.254) + (xy 3.302 0.381) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 1.651 0) (radius 2.794) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.54 -1.778) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 2.54 1.778) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + (symbol "Q_NMOS_GSD_1_1" + (pin input line (at -5.08 0 0) (length 2.54) + (name "G" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 138.43 109.22) (diameter 0) (color 0 0 0 0)) + + (wire (pts (xy 138.43 111.76) (xy 138.43 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 22d38bbc-4329-4c4c-98a1-9d8ab38a4d1f) + ) + (wire (pts (xy 142.24 109.22) (xy 138.43 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c8f13d66-0f85-4044-b2b0-53ae62b77e95) + ) + + (hierarchical_label "drain" (shape output) (at 142.24 109.22 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5598425b-2123-4466-a1e6-05f4d376cd15) + ) + (hierarchical_label "source" (shape input) (at 138.43 99.06 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 81434941-5d45-4330-ae28-92f75c0bef01) + ) + (hierarchical_label "gate" (shape input) (at 130.81 104.14 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid db718972-7571-473b-b3c4-53b9198c4a4e) + ) + + (symbol (lib_id "Device:Q_NMOS_GSD") (at 135.89 104.14 0) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617fd6ec) + (property "Reference" "Q28" (id 0) (at 141.0716 102.9716 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "N" (id 1) (at 141.0716 105.283 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-523" (id 2) (at 140.97 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 135.89 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 11624046-4599-48ad-92ae-38cf98cf90c7)) + (pin "2" (uuid 262597aa-c0c4-4d01-bb71-5df134e48a7f)) + (pin "3" (uuid dec4ce69-cede-4883-afe8-60cff10a20b5)) + ) + + (symbol (lib_id "Device:LED") (at 130.81 107.95 90) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617ff64c) + (property "Reference" "D28" (id 0) (at 133.8072 106.9594 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "L" (id 1) (at 133.8072 109.2708 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "LED_SMD:LED_0402_1005Metric" (id 2) (at 130.81 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 130.81 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 098363d4-a4bd-40a6-9590-e025adcb5a52)) + (pin "2" (uuid bd68e951-1fe9-4eee-a09e-b31ca40b2b88)) + ) + + (symbol (lib_id "Device:R") (at 134.62 111.76 270) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000618000c0) + (property "Reference" "R36" (id 0) (at 134.62 106.5022 90)) + (property "Value" "68" (id 1) (at 134.62 108.8136 90)) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 134.62 109.982 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 134.62 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d696b782-0153-465c-9c44-7587c844b391)) + (pin "2" (uuid 4abaa0b0-bd80-4e6e-aa33-81bd596401ef)) + ) +) diff --git a/nmos.sch b/nmos.sch deleted file mode 100644 index fb4d220..0000000 --- a/nmos.sch +++ /dev/null @@ -1,105 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 27 43 -Title "" -Date "" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L Device:Q_NMOS_GSD Q6 -U 1 1 617FD6EC -P 5350 4100 -AR Path="/617FD278/617FD6EC" Ref="Q6" Part="1" -AR Path="/61801128/617FD6EC" Ref="Q7" Part="1" -AR Path="/6180167A/617FD6EC" Ref="Q8" Part="1" -AR Path="/61801B8B/617FD6EC" Ref="Q9" Part="1" -AR Path="/618020CC/617FD6EC" Ref="Q10" Part="1" -AR Path="/617F2465/617FD6EC" Ref="Q16" Part="1" -AR Path="/617F3F28/617FD6EC" Ref="Q17" Part="1" -AR Path="/617F542F/617FD6EC" Ref="Q18" Part="1" -AR Path="/617F68DA/617FD6EC" Ref="Q19" Part="1" -AR Path="/6180E2AE/617FD6EC" Ref="Q20" Part="1" -AR Path="/618F6378/617FD6EC" Ref="Q24" Part="1" -AR Path="/61902300/617FD6EC" Ref="Q25" Part="1" -AR Path="/61902305/617FD6EC" Ref="Q26" Part="1" -AR Path="/6196265E/617FD6EC" Ref="Q?" Part="1" -AR Path="/6196C12A/617FD6EC" Ref="Q28" Part="1" -F 0 "Q28" H 5554 4146 50 0000 L CNN -F 1 "N" H 5554 4055 50 0000 L CNN -F 2 "Package_TO_SOT_SMD:SOT-523" H 5550 4200 50 0001 C CNN -F 3 "~" H 5350 4100 50 0001 C CNN - 1 5350 4100 - 1 0 0 -1 -$EndComp -Text HLabel 5450 3900 2 50 Input ~ 0 -source -Text HLabel 5600 4300 2 50 Output ~ 0 -drain -Text HLabel 5150 4100 0 50 Input ~ 0 -gate -$Comp -L Device:LED D6 -U 1 1 617FF64C -P 5150 4250 -AR Path="/617FD278/617FF64C" Ref="D6" Part="1" -AR Path="/61801128/617FF64C" Ref="D7" Part="1" -AR Path="/6180167A/617FF64C" Ref="D8" Part="1" -AR Path="/61801B8B/617FF64C" Ref="D9" Part="1" -AR Path="/618020CC/617FF64C" Ref="D10" Part="1" -AR Path="/617F2465/617FF64C" Ref="D16" Part="1" -AR Path="/617F3F28/617FF64C" Ref="D17" Part="1" -AR Path="/617F542F/617FF64C" Ref="D18" Part="1" -AR Path="/617F68DA/617FF64C" Ref="D19" Part="1" -AR Path="/6180E2AE/617FF64C" Ref="D20" Part="1" -AR Path="/618F6378/617FF64C" Ref="D24" Part="1" -AR Path="/61902300/617FF64C" Ref="D25" Part="1" -AR Path="/61902305/617FF64C" Ref="D26" Part="1" -AR Path="/6196265E/617FF64C" Ref="D?" Part="1" -AR Path="/6196C12A/617FF64C" Ref="D28" Part="1" -F 0 "D28" V 5189 4132 50 0000 R CNN -F 1 "L" V 5098 4132 50 0000 R CNN -F 2 "LED_SMD:LED_0402_1005Metric" H 5150 4250 50 0001 C CNN -F 3 "~" H 5150 4250 50 0001 C CNN - 1 5150 4250 - 0 -1 -1 0 -$EndComp -$Comp -L Device:R R6 -U 1 1 618000C0 -P 5300 4400 -AR Path="/617FD278/618000C0" Ref="R6" Part="1" -AR Path="/61801128/618000C0" Ref="R7" Part="1" -AR Path="/6180167A/618000C0" Ref="R8" Part="1" -AR Path="/61801B8B/618000C0" Ref="R9" Part="1" -AR Path="/618020CC/618000C0" Ref="R10" Part="1" -AR Path="/617F2465/618000C0" Ref="R24" Part="1" -AR Path="/617F3F28/618000C0" Ref="R25" Part="1" -AR Path="/617F542F/618000C0" Ref="R26" Part="1" -AR Path="/617F68DA/618000C0" Ref="R27" Part="1" -AR Path="/6180E2AE/618000C0" Ref="R28" Part="1" -AR Path="/618F6378/618000C0" Ref="R32" Part="1" -AR Path="/61902300/618000C0" Ref="R33" Part="1" -AR Path="/61902305/618000C0" Ref="R34" Part="1" -AR Path="/6196265E/618000C0" Ref="R?" Part="1" -AR Path="/6196C12A/618000C0" Ref="R36" Part="1" -F 0 "R36" V 5093 4400 50 0000 C CNN -F 1 "68" V 5184 4400 50 0000 C CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 5230 4400 50 0001 C CNN -F 3 "~" H 5300 4400 50 0001 C CNN - 1 5300 4400 - 0 1 1 0 -$EndComp -Wire Wire Line - 5450 4400 5450 4300 -Wire Wire Line - 5600 4300 5450 4300 -Connection ~ 5450 4300 -$EndSCHEMATC diff --git a/pmos.kicad_sch b/pmos.kicad_sch new file mode 100644 index 0000000..edea987 --- /dev/null +++ b/pmos.kicad_sch @@ -0,0 +1,362 @@ +(kicad_sch (version 20210621) (generator eeschema) + + (uuid 518a5f88-a0bf-41ab-8c83-ecf0ffbf6526) + + (paper "A4") + + (lib_symbols + (symbol "Device:LED" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LED" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "LED diode" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Light emitting diode" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LED_0_1" + (polyline + (pts + (xy -1.27 -1.27) + (xy -1.27 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy 1.27 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 1.27 1.27) + (xy -1.27 0) + (xy 1.27 -1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.048 -0.762) + (xy -4.572 -2.286) + (xy -3.81 -2.286) + (xy -4.572 -2.286) + (xy -4.572 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.778 -0.762) + (xy -3.302 -2.286) + (xy -2.54 -2.286) + (xy -3.302 -2.286) + (xy -3.302 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "LED_1_1" + (pin passive line (at -3.81 0 0) (length 2.54) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:Q_PMOS_GSD" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (id 0) (at 5.08 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Q_PMOS_GSD" (id 1) (at 5.08 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 5.08 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "transistor PMOS P-MOS P-MOSFET" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "P-MOSFET transistor, gate/source/drain" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Q_PMOS_GSD_0_1" + (polyline + (pts + (xy 0.254 0) + (xy -2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.254 1.905) + (xy 0.254 -1.905) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.27) + (xy 0.762 -2.286) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 0.508) + (xy 0.762 -0.508) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 2.286) + (xy 0.762 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 2.54) + (xy 2.54 1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -2.54) + (xy 2.54 0) + (xy 0.762 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 1.778) + (xy 3.302 1.778) + (xy 3.302 -1.778) + (xy 0.762 -1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 0) + (xy 1.27 0.381) + (xy 1.27 -0.381) + (xy 2.286 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy 2.794 -0.508) + (xy 2.921 -0.381) + (xy 3.683 -0.381) + (xy 3.81 -0.254) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.302 -0.381) + (xy 2.921 0.254) + (xy 3.683 0.254) + (xy 3.302 -0.381) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 1.651 0) (radius 2.794) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.54 -1.778) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 2.54 1.778) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + (symbol "Q_PMOS_GSD_1_1" + (pin input line (at -5.08 0 0) (length 2.54) + (name "G" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 146.05 90.17) (diameter 0) (color 0 0 0 0)) + + (wire (pts (xy 146.05 92.71) (xy 146.05 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 24cf45c7-26ed-4aa7-8f7e-8fceedaefb7c) + ) + (wire (pts (xy 146.05 90.17) (xy 146.05 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bd354c11-ada1-4fdc-a2e1-2f59f81fb9a3) + ) + (wire (pts (xy 146.05 114.3) (xy 146.05 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c7bf1820-241a-4624-8d6e-7af88f865161) + ) + + (hierarchical_label "drain" (shape output) (at 146.05 114.3 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 566d4196-5390-4d1c-890c-0996a245bcb4) + ) + (hierarchical_label "gate" (shape input) (at 138.43 97.79 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 71cc4e55-04ee-4977-ae5e-7d8c79facbdf) + ) + (hierarchical_label "source" (shape input) (at 146.05 83.82 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e819ab70-6e3d-4584-a1cf-ac635f654452) + ) + + (symbol (lib_id "Device:LED") (at 138.43 93.98 90) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f3a70) + (property "Reference" "D27" (id 0) (at 141.4272 92.9894 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "L" (id 1) (at 141.4272 95.3008 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "LED_SMD:LED_0402_1005Metric" (id 2) (at 138.43 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 138.43 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6a25cbd9-e88b-41a1-a2f1-f192670607f7)) + (pin "2" (uuid 472627dc-7baa-4fdb-833c-223d99c1d8b3)) + ) + + (symbol (lib_id "Device:R") (at 142.24 90.17 90) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617f3a76) + (property "Reference" "R35" (id 0) (at 141.0716 88.392 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "68" (id 1) (at 143.383 88.392 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 142.24 91.948 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 142.24 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c0ec9a32-7f95-457b-a104-75048a136443)) + (pin "2" (uuid 0271f04c-ecb9-48af-ad9f-26c938f27337)) + ) + + (symbol (lib_id "Device:Q_PMOS_GSD") (at 143.51 97.79 0) (mirror x) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006181bdcd) + (property "Reference" "Q27" (id 0) (at 148.717 96.6216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "P" (id 1) (at 148.717 98.933 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-523" (id 2) (at 148.59 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 143.51 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2941944d-a5ae-4111-bf75-17b39ce52f3e)) + (pin "2" (uuid fc391cf7-ddb8-45f9-95e7-894eea684a90)) + (pin "3" (uuid 6b502e16-be40-4abf-b3c0-280d67bf8fb4)) + ) +) diff --git a/pmos.sch b/pmos.sch deleted file mode 100644 index c2489dd..0000000 --- a/pmos.sch +++ /dev/null @@ -1,112 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 2 43 -Title "" -Date "" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -Wire Wire Line - 5750 4500 5750 4050 -$Comp -L Device:LED D? -U 1 1 617F3A70 -P 5450 3700 -AR Path="/617F3A70" Ref="D?" Part="1" -AR Path="/617F1E57/617F3A70" Ref="D1" Part="1" -AR Path="/617F496C/617F3A70" Ref="D2" Part="1" -AR Path="/617F49C9/617F3A70" Ref="D3" Part="1" -AR Path="/617FA69E/617F3A70" Ref="D4" Part="1" -AR Path="/617FA71E/617F3A70" Ref="D5" Part="1" -AR Path="/617EA119/617F3A70" Ref="D11" Part="1" -AR Path="/617EB758/617F3A70" Ref="D12" Part="1" -AR Path="/617ECBC3/617F3A70" Ref="D13" Part="1" -AR Path="/617EDFF6/617F3A70" Ref="D14" Part="1" -AR Path="/617EF7A1/617F3A70" Ref="D15" Part="1" -AR Path="/6188A626/617F3A70" Ref="D21" Part="1" -AR Path="/6188F5BD/617F3A70" Ref="D22" Part="1" -AR Path="/61894615/617F3A70" Ref="D?" Part="1" -AR Path="/6189461A/617F3A70" Ref="D23" Part="1" -AR Path="/61962659/617F3A70" Ref="D?" Part="1" -AR Path="/6196C125/617F3A70" Ref="D27" Part="1" -F 0 "D1" V 5489 3582 50 0000 R CNN -F 1 "L" V 5398 3582 50 0000 R CNN -F 2 "LED_SMD:LED_0402_1005Metric" H 5450 3700 50 0001 C CNN -F 3 "~" H 5450 3700 50 0001 C CNN - 1 5450 3700 - 0 -1 -1 0 -$EndComp -$Comp -L Device:R R? -U 1 1 617F3A76 -P 5600 3550 -AR Path="/617F3A76" Ref="R?" Part="1" -AR Path="/617F1E57/617F3A76" Ref="R1" Part="1" -AR Path="/617F496C/617F3A76" Ref="R2" Part="1" -AR Path="/617F49C9/617F3A76" Ref="R3" Part="1" -AR Path="/617FA69E/617F3A76" Ref="R4" Part="1" -AR Path="/617FA71E/617F3A76" Ref="R5" Part="1" -AR Path="/617EA119/617F3A76" Ref="R19" Part="1" -AR Path="/617EB758/617F3A76" Ref="R20" Part="1" -AR Path="/617ECBC3/617F3A76" Ref="R21" Part="1" -AR Path="/617EDFF6/617F3A76" Ref="R22" Part="1" -AR Path="/617EF7A1/617F3A76" Ref="R23" Part="1" -AR Path="/6188A626/617F3A76" Ref="R29" Part="1" -AR Path="/6188F5BD/617F3A76" Ref="R30" Part="1" -AR Path="/61894615/617F3A76" Ref="R?" Part="1" -AR Path="/6189461A/617F3A76" Ref="R31" Part="1" -AR Path="/61962659/617F3A76" Ref="R?" Part="1" -AR Path="/6196C125/617F3A76" Ref="R35" Part="1" -F 0 "R1" H 5670 3596 50 0000 L CNN -F 1 "68" H 5670 3505 50 0000 L CNN -F 2 "Resistor_SMD:R_0402_1005Metric" V 5530 3550 50 0001 C CNN -F 3 "~" H 5600 3550 50 0001 C CNN - 1 5600 3550 - 0 -1 -1 0 -$EndComp -Wire Wire Line - 5750 3650 5750 3550 -Wire Wire Line - 5750 3550 5750 3300 -Connection ~ 5750 3550 -Text HLabel 5750 3300 0 50 Input ~ 0 -source -Text HLabel 5750 4500 2 50 Output ~ 0 -drain -Text HLabel 5450 3850 0 50 Input ~ 0 -gate -$Comp -L Device:Q_PMOS_GSD Q2 -U 1 1 6181BDCD -P 5650 3850 -AR Path="/617F496C/6181BDCD" Ref="Q2" Part="1" -AR Path="/617F1E57/6181BDCD" Ref="Q1" Part="1" -AR Path="/617F49C9/6181BDCD" Ref="Q3" Part="1" -AR Path="/617FA69E/6181BDCD" Ref="Q4" Part="1" -AR Path="/617FA71E/6181BDCD" Ref="Q5" Part="1" -AR Path="/617EA119/6181BDCD" Ref="Q11" Part="1" -AR Path="/617EB758/6181BDCD" Ref="Q12" Part="1" -AR Path="/617ECBC3/6181BDCD" Ref="Q13" Part="1" -AR Path="/617EDFF6/6181BDCD" Ref="Q14" Part="1" -AR Path="/617EF7A1/6181BDCD" Ref="Q15" Part="1" -AR Path="/6188A626/6181BDCD" Ref="Q21" Part="1" -AR Path="/6188F5BD/6181BDCD" Ref="Q22" Part="1" -AR Path="/61894615/6181BDCD" Ref="Q?" Part="1" -AR Path="/6189461A/6181BDCD" Ref="Q23" Part="1" -AR Path="/61962659/6181BDCD" Ref="Q?" Part="1" -AR Path="/6196C125/6181BDCD" Ref="Q27" Part="1" -F 0 "Q1" H 5855 3804 50 0000 L CNN -F 1 "P" H 5855 3895 50 0000 L CNN -F 2 "Package_TO_SOT_SMD:SOT-523" H 5850 3950 50 0001 C CNN -F 3 "~" H 5650 3850 50 0001 C CNN - 1 5650 3850 - 1 0 0 1 -$EndComp -$EndSCHEMATC